模拟电路数字化建模的方法、装置、设备、介质和产品与流程

文档序号:34212629发布日期:2023-05-17 20:04阅读:53来源:国知局
模拟电路数字化建模的方法、装置、设备、介质和产品与流程

本申请涉及模拟电路,具体涉及一种模拟电路数字化建模的方法、装置、设备、介质和产品。


背景技术:

1、随着数字电路技术的飞速发展,如何对数字电路进行仿真是目前研究的热门话题。针对只关心数字仿真结果的数模混合仿真,如果不对项目中的模拟模块进行数字化建模,那么就必须进行数模混仿,仿真周期长且非常低效。

2、目前针对模数混合仿真主要采用如下方式实现:一种方式将模拟电路和数字电路分开进行验证的,模拟电路采用模拟电路的验证方式,数字电路采用数字电路的验证方式,但如果芯片中既有模拟电路又有数字电路,采用这种分开验证的方式很难完全覆盖通信及反馈嵌套控制的全部情况;另一种方式是将数字电路当做模拟电路,全部采用模拟电路的验证方式进行仿真验证,此种方式虽然能保证验证覆盖率,但需要耗费大量的时间与处理资源,同时加大验证人员编写验证参考模型的难度;进一步的又提出对模拟电路的模拟特性参数数字逻辑化进行仿真验证,设计人员与验证人员可以根据需求说明书提取出待设计ic芯片的特性列表,随后验证人员根据获得的特性列表,通过verilog、system verilog或system c来实现模拟电路功能。

3、但是现有技术是基于特性参数的,特性参数包括待验证电路的端口标准、参考电压、模数转换、带隙基准等。对验证人员的技术要求较高,验证人员需要充分理解说明书并准确提取参数,验证时需要花费大量的时间进行分析和建模;而且将数字电路当做模拟电路,全部采用模拟电路的验证方式进行仿真验证,此种方式虽然能保证验证覆盖率,但需要耗费大量的时间与处理资源,同时加大验证人员编写验证参考模型的难度。


技术实现思路

1、本申请实施例的目的是提供一种模拟电路数字化建模的方法、装置、设备、介质和产品,以实现快速的对模拟电路进行数字化建模的效果。

2、本申请的技术方案如下:

3、第一方面,提供了一种模拟电路数字化建模的方法,其特征在于,所述方法包括:

4、获取层次化整理的电路数据;

5、根据所述电路数据中各模拟电路的功能,将所述电路数据进行划分,得到至少一个功能模块;

6、对所述至少一个功能模块中的信号进行标注,得到标注后的功能模块;

7、基于各标注后的功能模块,得到目标模拟电路。

8、第二方面,提供了一种模拟电路数字化建模的方法,该方法包括:

9、获取层次化整理的电路数据;

10、根据所述电路数据中各模拟电路的功能,将所述电路数据进行划分,得到至少一个功能模块;

11、对所述至少一个功能模块中的信号进行标注,得到标注后的功能模块;

12、对所述电路数据中功能模块进行检查,在确定存在至少一个功能模块未标注的情况下,返回执行所述对所述至少一个功能模块中的信号进行标注,得到标注后的功能模块;

13、基于各标注后的功能模块,导出system verilog。

14、第三方面,提供了一种模拟电路数字化建模的装置,该装置包括:

15、获取模块,用于获取层次化整理的电路数据;

16、划分模块,用于根据所述电路数据中各模拟电路的功能,将所述电路数据进行划分,得到至少一个功能模块;

17、标注模块,用于对所述至少一个功能模块中的信号进行标注,得到标注后的功能模块;

18、确定模块,用于基于各标注后的功能模块,得到目标模拟电路。

19、第四方面,提供了一种模拟电路数字化建模的装置,该装置包括:

20、获取模块,用于获取层次化整理的电路数据;

21、划分模块,用于根据所述电路数据中各模拟电路的功能,将所述电路数据进行划分,得到至少一个功能模块;

22、标注模块,用于对所述至少一个功能模块中的信号进行标注,得到标注后的功能模块;

23、检查模块,用于对所述电路数据中功能模块进行检查,在确定存在至少一个功能模块未标注的情况下,返回执行所述对所述至少一个功能模块中的信号进行标注,得到标注后的功能模块;

24、导出模块,用于基于各标注后的功能模块,导出system verilog。

25、第五方面,本申请实施例提供了一种电子设备,该电子设备包括处理器、存储器及存储在所述存储器上并可在所述处理器上运行的程序或指令,所述程序或指令被所述处理器执行时实现本申请实施例任一所述的模拟电路数字化建模的方法的步骤。

26、第六方面,本申请实施例提供了一种可读存储介质,所述可读存储介质上存储程序或指令,所述程序或指令被处理器执行时实现本申请实施例任一所述的模拟电路数字化建模的方法的步骤。

27、第七方面,本申请实施例提供了一种计算机程序产品,所述计算机程序产品中的指令由电子设备的处理器执行时,使得所述电子设备能够执行本申请实施例任一所述的模拟电路数字化建模的方法的步骤。

28、本申请的实施例提供的技术方案至少带来以下有益效果:

29、本申请实施例中,根据获取的层次化整理的电路数据中各模拟电路的功能,将模拟电路进行划分,得到至少一个功能模块,然后对至少一个功能模块中的信号进行标注,得到标注后的功能模块,基于各标注后的功能模块,得到目标模拟电路,如此无需获取模拟模块的模拟特性参数,可直接对模拟电路进行数字化建模,提升了模拟电路的数字化建模效率。

30、应当理解的是,以上的一般描述和后文的细节描述仅是示例性和解释性的,并不能限制本申请。



技术特征:

1.一种模拟电路数字化建模的方法,其特征在于,所述方法包括:

2.根据权利要求1所述的方法,其特征在于,所述至少一个功能模块包括至少一个第一目标功能模块,所述第一目标功能模块为对所述电路数据的影响超过预设影响阈值的功能模块;

3.根据权利要求2所述的方法,其特征在于,所述根据所述第一目标功能模块的电路结构特性,建立所述第一目标功能模块的等效数字模型,包括:

4.根据权利要求2所述的方法,其特征在于,所述根据所述第一目标功能模块的电路结构特性,建立所述第一目标功能模块的等效数字模型,包括:

5.根据权利要求1所述的方法,其特征在于,所述至少一个功能模块包括至少一个第二目标功能模块,所述第二目标功能模块为对所述电路数据的影响小于预设影响阈值的功能模块;

6.根据权利要求1-5任一所述的方法,其特征在于,所述基于各标注后的功能模块,得到目标模拟电路,包括:

7.根据权利要求6所述的方法,其特征在于,在确定电路数据中的功能模块均标注完整的情况下,所述方法还包括:

8.根据权利要求7所述的方法,其特征在于,所述通过识别verilog属性框内的标注以及各个模块之间的函数关系的关联性,导出与所述电路数据相对应的system verilog,还包括:

9.一种模拟电路数字化建模的方法,其特征在于,所述方法包括:

10.根据权利要求9所述的方法,其特征在于,所述对所述电路数据中功能模块进行检查包括:

11.根据权利要求9所述的方法,其特征在于,所述基于各标注后的功能模块,导出system verilog,包括:

12.一种模拟电路数字化建模的装置,其特征在于,所述装置包括:

13.一种模拟电路数字化建模的装置,其特征在于,所述装置包括:

14.一种电子设备,其特征在于,包括处理器,存储器及存储在所述存储器上并可在所述处理器上运行的程序或指令,所述程序或指令被所述处理器执行时实现如权利要求1-11任一所述的模拟电路数字化建模的方法的步骤。

15.一种可读存储介质,其特征在于,所述可读存储介质上存储程序或指令,所述程序或指令被处理器执行时实现如权利要求1-11任一所述的模拟电路数字化建模的方法的步骤。

16.一种计算机程序产品,其特征在于,所述计算机程序产品中的指令由电子设备的处理器执行时,使得所述电子设备执行如权利要求1-11任一所述的模拟电路数字化建模的方法的步骤。


技术总结
本申请公开了一种模拟电路数字化建模的方法、装置、设备、介质和产品,该方法包括:获取层次化整理的电路数据;根据所述电路数据中各模拟电路的功能,将所述电路数据进行划分,得到至少一个功能模块;对所述至少一个功能模块中的信号进行标注,得到标注后的功能模块;基于各标注后的功能模块,得到目标模拟电路。以实现快速的对模拟电路进行数字化建模的效果。

技术研发人员:丁柯,张馨月,刘永萍
受保护的技术使用者:北京芯愿景软件技术股份有限公司
技术研发日:
技术公布日:2024/1/12
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1