半导体结构、半导体器件及其形成方法与流程

文档序号:27757188发布日期:2021-12-03 22:36阅读:352来源:国知局
半导体结构、半导体器件及其形成方法与流程

1.本技术的实施例涉及半导体结构、半导体器件及其形成方法。


背景技术:

2.半导体集成电路(ic)工业经历了快速增长。ic材料和设计的技术进步产生了多代ic,其中,每一代都具有比先前一代更小且更复杂的电路。在ic演进过程中,随着几何尺寸(即,可使用制造工艺创建的最小组件(或线))的减小,功能密度(即,单位芯片面积中的互连器件的数量)通常在增加。这种规模缩小工艺通常通过增加产量效率和降低相关成本来提供很多益处。这种按比例缩小工艺也增大了加工和制造ic的复杂度。
3.例如,随着集成电路(ic)技术朝着更小的技术节点发展,将源极/漏极串联电阻控制在可容忍的极限内变得更加困难。具体而言,铜塞技术难以跟上激进的器件性能。因此,尽管现有的接触塞结构通常对于其预期目的是令人满意的,但是它们并不是在所有方面都令人满意。


技术实现要素:

4.本技术的实施例提供了一种半导体器件,包括:栅极结构;源极/漏极部件,与所述栅极结构相邻;第一介电层,位于所述源极/漏极部件上方;蚀刻停止层,位于所述栅极结构和所述第一介电层上方;第二介电层,位于所述蚀刻停止层上方;源极/漏极接触件,包括:第一部分,延伸穿过所述第一介电层,和第二部分,延伸穿过所述蚀刻停止层和所述第二介电层;金属硅化物层,设置在所述第二部分和所述蚀刻停止层之间;以及金属氮化物层,设置在所述第一部分和所述第一介电层之间。
5.本技术的实施例提供了一种半导体结构,包括:源极/漏极部件;第一介电层,位于所述源极/漏极部件上方;第二介电层,位于所述第一介电层上方;源极/漏极接触件,包括:第一部分,延伸穿过所述第一介电层,和第二部分,延伸穿过所述第二介电层;导电阻挡层,设置在所述第一部分和所述第一介电层之间;介电阻挡层,设置在所述导电阻挡层和所述第一介电层之间;以及金属硅化物层,设置在所述第二介电层和所述第二部分之间并与之接触。
6.本技术的实施例还提供一种方法,包括:接收工件,所述工件包括:栅极结构,源极/漏极部件,与所述栅极结构相邻,底部蚀刻停止层,位于所述源极/漏极部件上方,第一介电层,位于所述底部蚀刻停止层上方,和第二介电层,位于所述第一介电层上方;形成穿过所述底部蚀刻停止层、所述第一介电层和所述第二介电层以暴露所述源极/漏极部件的源极/漏极接触开口,在所述工件上方共形地沉积介电阻挡层;对所述介电阻挡层开槽以暴露所述工件的面向顶部的表面;在对所述介电阻挡层开槽之后,在所述工件上方共形地沉积金属层;在共形地沉积所述金属层之后,对所述工件执行退火工艺以形成金属氮化物层;回蚀刻所述金属氮化物层;以及在所述回蚀刻之后,在所述金属氮化物层上方形成接触塞。
附图说明
7.当结合附图进行阅读时,根据下面详细的描述可以最佳地理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。还应强调的是,所附附图仅示出了本发明的典型实施例,因此不应视为对本发明范围的限制,因为本发明可以同样很好地应用于其他实施例。
8.图1是示出根据本公开的一个或多个方面的制造半导体器件的方法的流程图。
9.图2

图12示出根据本公开的一个或多个方面的在图1的方法中的各个制造阶段的工件的局部截面图。
具体实施方式
10.以下公开内容提供了许多不同的实施例或实例,以用于实现所提供主题的不同特征。在下面描述元件和布置的特定实例以简化本发明。当然,这些仅是实例并且不意欲限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件不直接接触的实施例。而且,本发明在各个实例中可以重复参考数字和/或字母。这种重复是为了简明和清楚,但是其本身没有指明所讨论的各个实施例和/或配置之间的关系。
11.为了便于描述,本文中可以使用诸如“在

下方”、“在

下面”、“下部”、“在

上面”、“上部”等的空间关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。空间关系术语旨在包括除了在图中所描述的方向之外的使用或操作中的器件的不同方向。装置可以以其他方式定位(旋转90度或在其他方位),并且在本文中使用的空间关系描述符可以同样地作相应地解释。
12.此外,当用“约”、“近似”等描述数值或数值的范围时,该词语旨在涵盖在合理范围内的数字,考虑到如本领域普通技术人员所理解的在制造期间固有地产生的变化。例如,基于与制造具有与数值相关联的部件相关的已知制造公差,数值或数值范围涵盖包括所述数值的合理范围,诸如在所述数值的+/

10%以内。例如,厚度为“约5nm”的材料层可以涵盖4.25nm至5.75nm的尺寸范围,其中本领域普通技术人员已知与沉积材料层相关的制造公差为+/

15%。另外,本发明可以在各个实例中重复附图标号和/或字母。这种重复仅是为了简明和清楚,其自身并不表示所论述的各个实施例和/或配置之间的关系。
13.本公开总体涉及用于半导体器件的接触结构,并且更具体地涉及源极/漏极接触结构。
14.随着ic器件发展到更小的技术节点,将源极/漏极串联电阻控制在可容忍的极限内变得更加困难。由于尺寸减小导致电流密度增加,因此对低电阻的需求提出了巨大的挑战。据估计,在当前技术中,串联电阻会使饱和电流降低40%或更多。最重要的是,由于缩放,互连件电阻的增加预计将需要器件接触件的更低的电阻值。为了在漏极电流中最大化高迁移率沟道的优势,降低接触电阻变得很重要。用作接触部件材料的铜不太可能满足苛刻的接触电阻器件性能要求。
15.本公开提供了用于形成接触结构以改善源极/漏极区域处的接触电阻(rcsd)的方
法。在示例性实施例中,接收工件。工件包括栅极结构、与栅极结构相邻的源极/漏极部件、位于源极/漏极部件上方的第一介电层、位于第一介电层上方的蚀刻停止层以及位于蚀刻停止层上方的第二介电层。穿过第二介电层、蚀刻停止层和第一介电层形成源极/漏极接触开口以暴露源极/漏极部件。介电阻挡层沉积在工件上方,并且介电阻挡层被开槽以暴露源极/漏极部件。然后将金属层沉积在工件上方,包括在暴露的源极/漏极部件上。在将工件退火以从金属层和介电阻挡层形成金属氮化物层之后,回蚀刻金属氮化物层,直到金属氮化物层和栅极结构的顶面共面。回蚀刻工艺包括使用光子辅助注入来注入锗并在第二介电层上形成硅化物层。然后在源极/漏极接触开口中形成可以包括钴的源极/漏极接触塞。源极/漏极接触塞包括延伸穿过第一介电层的第一部分以及延伸穿过蚀刻停止层和第二介电层的第二部分。由于金属氮化物层的回蚀刻,第二部分比第一部分宽,当在源极/漏极接触塞上方形成接触部件时,这改善了金属填充窗口并放宽了覆盖要求。
16.现在将参考附图更详细地描述本公开的各个方面。在这方面,图1是示出根据本公开的一个或多个方面的形成半导体器件的方法100的流程图。方法100仅是示例,并且不旨在将本公开限制为在方法100中明确示出的内容。可在方法100之前、期间和之后提供附加步骤,并且对于方法的附加实施例,可将描述的一些步骤替换、消除或转移。为了简单起见,本文没有详细描述所有步骤。下面结合图2

图12描述方法100,其是根据方法100的实施例的工件200在制造的不同阶段的局部截面图。因为在完成制造工艺之后将工件200制造成半导体器件200,所以工件200可以在上下文中被称为半导体器件200。在整个本公开中,除非另有说明,否则相同的附图标记表示相同的部件。
17.首先参考图1和图2。方法100包括框102,接收工件200。如图2所示,工件200包括衬底202和从衬底202升起的鳍结构204。工件200还包括通过隔离结构204与相邻的有源区域隔离的有源区域(其视图被遮挡)。有源区域沿着x方向纵向延伸,并且被划分为源极/漏极区域202sd和沟道区域202c。在图2中示出一个源极/漏极区域202sd和两个沟道区域202c。在有源区域的沟道区域202c以及隔离部件204上方形成第一栅极结构208

1和第二栅极结构208

2。如图2所示,在有源区域的源极/漏极区域202sd上方形成源极/漏极部件206,并且源极/漏极部件206的至少一部分延伸到隔离部件204中。沿着第一栅极结构208

1和第二栅极结构208

2的侧壁设置栅极间隔件210。工件200还包括底部接触蚀刻停止层(bcesl)212,其设置在源极/漏极部件206以及第一栅极结构208

1和第二栅极结构208

2的侧壁上方。第一层间介电(ild)层214设置在bcesl212上方。在图2所示的实施例中,工件200还包括位于第一ild层214、第一栅极结构208

1、第二栅极结构208

2上方的蚀刻停止层(esl)218。介电层220设置在esl 218上方。在一些情况下,工件200还可以包括电介质切割部件216,其将有源区域与沿x方向对准的相邻有源区域分离。
18.衬底202可以是硅(si)衬底。替代地或附加地,衬底202可以包括诸如锗(ge)的其他元素半导体材料。在一些实施例中,衬底202由诸如碳化硅、砷化镓、砷化铟或磷化铟的化合物半导体制成。在一些实施例中,衬底202是由诸如硅锗、碳化硅锗、磷砷化镓、或磷铟化镓的合金半导体制成的。在一些实施例中,衬底202包括一个或多个外延层。例如,衬底202可以具有位于块状半导体上面的外延层。在一些实施例中,衬底202可以包括掩埋绝缘体层,诸如掩埋氧化硅层,并且可以是绝缘体上硅(soi)衬底。
19.第一栅极结构208

1、第二栅极结构208

2和源极/漏极部件206所在的有源区域可
以包括一个或多个鳍元件或沟道构件的竖直堆叠件。当有源区域包括一个或多个鳍结构时,第一栅极结构208

1和第二栅极结构208

2是用于鳍型场效应晶体管(finfet)的栅极结构。当有源区域包括沟道构件的竖直堆叠件时,第一栅极结构208

1和第二栅极结构208

2是用于多桥沟道(mbc)晶体管的栅极结构。finfet和mbc晶体管是多栅极器件的示例,已被引入以通过增加栅极

沟道耦合、减小截止状态电流和减少短沟道效应(sce)来改善栅极控制。关于mbc晶体管,因为其栅极结构围绕沟道区域,所以mbc晶体管也可以称为环绕栅极晶体管(sgt)或全环栅(gaa)晶体管。mbc晶体管的沟道构件可以采用纳米线、纳米片或其他纳米结构的形状。沟道构件的形状还为mbc晶体管提供了替代名称,诸如纳米片晶体管或纳米线晶体管。可以从衬底202图案化有源区域,但是在衬底20上沉积外延层。有源区域可以包括硅(si)、硅锗(sige)、锗(ge)或iii

v半导体材料,诸如砷化镓、砷化铟或磷化铟。
20.隔离部件204也可以称为浅沟槽隔离(sti)部件204。在一些实施例中,隔离部件204可以包括氧化硅、氮化硅、氮氧化硅、掺杂氟的硅酸盐玻璃(fsg)、低k电介质、它们的组合和/或其他合适的材料。取决于半导体器件200的导电类型,源极/漏极部件206可以是n型或p型。当源极/漏极部件206是n型时,它可以包括掺杂磷的硅(si:p)、掺杂砷的硅(si:as)或掺杂锑的硅(si:sb)。当源极/漏极部件206是p型时,它可以包括掺杂硼的硅锗(sige:b)或掺杂镓的硅锗(sige:ga)。源极/漏极部件206可以使用气相外延(vpe)或分子束外延(mbe)形成,并且可以被称为外延部件。在一些实施例中,源极/漏极部件206可以包括不止一层。例如,如图2所示,源极/漏极部件206可以包括外部外延层和内部外延层,并且内部外延层比外部外延层更重地掺杂以减小电阻。
21.虽然未明确示出,但是第一栅极结构208

1和第二栅极结构208

2中的每一个可以包括栅极介电层和栅电极。栅极介电层可以包括设置在有源区域上的界面层和设置在界面层上方的高k介电层。在此,高k介电材料是指介电常数大于二氧化硅的介电常数(约3.9)的介电材料。在一些实施例中,界面层包括氧化硅和卤化硅。高k介电层可以包括氧化铪(hfo2)。替代地,高k介电层可以包括其他高k电介质,诸如氧化钛(tio2)、氧化铪锆(hfzro)、氧化钽(ta2o5)、氧化铪硅(hfsio4)、氧化锆(zro2)、氧化锆硅(zrsio2)、氧化镧(la2o3)、氧化铝(al2o3)、氧化锆(zro)、氧化钇(y2o3)、srtio3(sto)、batio3(bto)、bazro、氧化铪镧(hflao)、氧化镧硅(lasio)、氧化铝硅(alsio)、氧化铪钽(hftao)、氧化铪钛(hftio)、(ba,sr)tio3(bst)、氮化硅(sin)、氮氧化硅(sion)、它们的组合或其他合适的材料。栅电极层可以包括单层或替代的多层结构,诸如具有增强器件性能的所选功函数的金属层(功函数金属层)、衬层、湿润层、粘合层、金属合金或金属硅化物的各种组合。举例来说,栅电极层可以包括氮化钛(tin)、钛铝(tial)、氮化钛铝(tialn)、氮化钽(tan)、钽铝(taal)、氮化钽铝(taaln)、碳化钽铝(taalc)、碳氮化钽(tacn)、铝(al)、钨(w)、镍(ni)、钛(ti)、钌(ru)、钴(co)、铂(pt)、碳化钽(tac)、氮化钽硅(tasin)、铜(cu)、其他难熔金属或其他合适的金属材料或其组合。
22.栅极间隔件210可以包括氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、碳氧化硅、碳氮氧化硅和/或其组合。bcesl 212和esl 218可以包括氮化硅。第一ild层214可以包括诸如原硅酸四乙酯(teos)氧化物、未掺杂的硅酸盐玻璃或掺杂的氧化硅(诸如硼磷硅硅酸盐玻璃(bpsg)、熔融石英玻璃(fsg)、磷硅酸盐玻璃(psg)、硼掺杂的硅玻璃(bsg))和/或其他合适的介电材料的材料。电介质切割部件216可以由氮化硅或金属氧化物材料形成。示例性
金属氧化物材料可以包括氧化铝、氧化锆或氧化铪。介电层220可以包括氧化硅。在一个实施例中,介电层220可以使用等离子增强化学气相沉积(pecvd)由氧化硅形成,并且这种氧化硅可以称为等离子增强氧化物(peox)。在一些实施例中,bcesl 212沿z方向的厚度在约2nm和约4nm之间;esl 218沿z方向的厚度在约2nm和约4nm之间;第一ild层214沿z方向的厚度在约8nm和约10nm之间。
23.参考图1和图3,方法100包括框104,形成源极/漏极接触开口222。可以使用光刻和蚀刻工艺的组合来形成源极/漏极接触开口222。在示例性工艺中,在工件200上方沉积硬掩模。然后在硬掩模层上方沉积光刻胶层。使光刻胶层暴露于从光掩模反射或透射过光掩模的辐射,进行曝光后烘烤工艺,并对光刻胶层进行显影以形成包括光刻胶层的掩蔽元件。然后可以将掩蔽元件用作蚀刻掩模以图案化硬掩模,并且将图案化的硬掩模用作蚀刻掩模以蚀刻介电层220、esl 218、第一ild层214和bcesl 212,以形成源极/漏极接触开口222来暴露源极/漏极部件206。在一些实施方式中,形成源极/漏极接触开口222的蚀刻工艺可以是干蚀刻工艺或反应离子蚀刻(rie)。示例性干蚀刻工艺可以包括含氧气体、氢气、含氟气体(例如,cf4、sf6、ch2f2、chf3、ch3f、c4h8,c4f6和/或c2f6)、含碳气体(例如,co、ch4和/或c3h8)、含氯气体(例如,cl2、chcl3、ccl4和/或bcl3)、含溴气体(例如,hbr和/或chbr3)、含碘气体、其他合适的气体和/或等离子体和/或其组合。在图3所示的一些实施例中,源极/漏极接触开口222可以部分地延伸到源极/漏极部件206中。
24.参考图1和图4,方法100包括框106,在工件200上方沉积介电阻挡层224。在一些实施例中,介电阻挡层224可以包括氮化硅。在一些实施方式中,可以使用cvd、等离子体增强cvd(pecvd)、低压cvd(lpcvd)、亚大气压cvd(sacvd)或原子层沉积(ald)来沉积介电阻挡层224。在一些实施方式中,介电阻挡层224可以共形地沉积在源极/漏极接触开口222中,使得其沿着源极/漏极接触开口222的侧壁延伸。如图4所示,介电阻挡层224可以沉积在介电层220的顶面、介电层220的侧壁、esl 218的侧壁、第一ild层214的侧壁以及bcesl 212的侧壁上方。在图4中,介电阻挡层224沉积在源极/漏极部件206上方并覆盖源极/漏极部件206。在一些情况下,可以将介电阻挡层224沉积到约3nm和约4nm之间的厚度。
25.参考图1和图5,方法100包括框108,对介电阻挡层224开槽以暴露源极/漏极部件206。在一些实施例中,在框108处对工件200进行各向异性蚀刻工艺300以去除沉积在源极/漏极部件206上的介电阻挡层224。如图5所示,在框108处的操作结束时,源极/漏极部件206的至少一部分暴露在源极/漏极接触开口222中。在一些实施方式中,各向异性蚀刻工艺300可以包括反应离子蚀刻(rie)工艺,其使用氢气、含氟气体(例如,cf4、sf6、ch2f2、chf3和/或c2f6)、含氯气体(例如,cl2、chcl3、ccl4和/或bcl3)、含溴气体(例如,hbr和/或chbr3)、含碘气体、其他合适的气体和/或等离子体和/或其组合。在框108处的开槽之后,介电阻挡层224可以保持沿着介电层220的侧壁、esl 218的侧壁、第一ild层214的侧壁以及bcesl 212的侧壁设置。在图5所示的一些实施例中,介电阻挡层224的底部拐角部分2240可以经受各向异性蚀刻工艺300。底部拐角部分2240可以覆盖源极/漏极部件206的一部分。
26.仍参考图1和图5,方法100包括框110,向暴露的源极/漏极部件206注入掺杂剂。在一些实施例中,可以在框110处执行注入工艺300以将锗(ge)注入暴露的源极/漏极部件206中。在源极/漏极部件206是p型的一些实现中,源极/漏极部件206可能由于锗聚集而具有不均匀的锗分布,这可能导致在随后的工艺中在其上形成不令人满意的硅化物。框110处的锗
(ge)注入可以有助于在p型源极/漏极部件206的暴露表面上提供均匀的锗分布,这有助于令人满意的硅化物形成。在一些实施例中,注入工艺300可以是光子辅助注入(pai)。为了确保将锗从源极/漏极接触开口222向下引导至源极/漏极部件206,注入工艺300可以具有小的(即,陡峭的)注入角。锗注入工艺300也可以被称为硅化物前注入工艺。
27.参考图1和图6,方法100包括框112,在工件200上方沉积第一金属衬层226。第一金属衬层226可以包括钛(ti)、钽(ta)、镍(ni)、钴(co)或钨(w)。在一个实施例中,第一金属衬层226包括钛(ti)。因为第一金属衬层226将与其他层反应以形成硅化物层或金属氮化物层,所以第一金属衬层226也可以被称为金属前体层。在一些实施方式中,可以使用物理气相沉积(pvd)、原子层沉积(ald)、等离子体增强的ald(peald)或金属有机化学气相沉积(mocvd)来沉积第一金属衬层226。
28.参考图1和图7,方法100包括框114,执行退火工艺400以形成第二金属衬层228和硅化物部件230。在一些实施例中,框112处的退火工艺400可以是快速热退火(rta)工艺、激光退火工艺、尖峰退火工艺或毫秒退火(msa)工艺。在一些情况下,退火工艺400可以包括约200℃和约500℃之间的退火温度或峰值退火温度。退火工艺400可以引起或加速第一金属衬层226和介电阻挡层224之间以及第一金属衬层226和暴露的源极/漏极部件206之间的反应。如图7所示,通过退火工艺400,第一金属衬层226和介电阻挡层224可以反应以形成第二金属衬层228。第二金属衬层228可以包括第一金属衬层226中的金属和来自介电阻挡层224的氮原子。例如,当第一金属衬层226包括钛并且介电阻挡层224包括氮化硅时,第一金属衬层226中的钛可以至少被部分氮化以形成氮化钛(tin)。因为可以完成氮化,所以第二金属衬层228可以包括第一金属衬层226的金属和来自氮化的金属氮化物形式两者。即,在该示例中,第二金属衬层228可以包括钛(ti)和氮化钛(tin)两者。同时,与源极/漏极部件206接触的第一金属衬层226可以经历由退火工艺400引起或加速的硅化反应。例如,当第一金属衬层226包括钛并且源极/漏极部件206包括硅时,第一金属衬层226中的钛可以经受硅化反应以在第一金属衬层226和源极/漏极部件206之间的界面处形成硅化物部件230。在该示例中,硅化物部件230可以包括硅化钛。取决于第一金属衬层226的成分,第二金属衬层228还可以包括钽(ta)和氮化钽(tan)的混合物、钴(co)和氮化钴(con)的混合物、镍(ni)和氮化镍(nin)的混合物或钨(w)和氮化钨(wn)的混合物。硅化物部件230还可以包括硅化钽、硅化钴、硅化镍或硅化钨。尽管在图7中未明确示出,但是退火工艺400还可以使第二金属衬层228中的金属与介电层220中的硅反应,以形成可以沉淀在介电阻挡层224与介电层220之间的边界附近的金属硅化物。金属硅化物的这种沉淀可以在框116期间作为第三金属衬层232暴露。
29.参考图1、图8和图9,方法100包括框116,回蚀刻第二金属衬层228。在一些实施例中,使用回蚀刻工艺来回蚀刻第二金属衬层228和介电阻挡层224。在一些实施方式中,回蚀刻工艺可以是各向异性的,并且可以包括反应离子蚀刻(rie)工艺,其使用氢气、含氟气体(例如,cf4、sf6、ch2f2、chf3和/或c2f6)、含氯气体(例如,cl2、chcl3、ccl4和/或bcl3)、含溴气体(例如,hbr和/或chbr3)、含碘气体、其他合适的气体和/或等离子体和/或其组合。在图8所示的一些实施例中,回蚀刻工艺蚀刻第二金属衬层228和介电阻挡层224,直到第二金属衬层228的顶面与第一栅极结构208

1、第二栅极结构208

2和第一ild层214的顶面基本共面。如图8所示,由于回蚀刻工艺可以从介电层220和esl 218的表面基本去除第一金属衬层
228和介电阻挡层224,所以可以暴露框114处沉淀和形成的第三金属衬层232。第三金属衬层232可以包括金属硅化物并且可以具有类似于硅化物部件230的组成。在一个实施例中,第三金属衬层232可以包括硅化钛。在一些其他实施例中,第三金属衬层232可以包括硅化钽、硅化钴、硅化镍或硅化钨。
30.图8中的虚线区域被放大并在图9中示出。由于回蚀刻工艺500从介电层220和esl 218的侧壁去除了第二金属衬层228和介电阻挡层224,所以图9中的源极/漏极接触开口222包括位于第一ild层214和esl 218之间的界面水平处的宽度方向上的阶梯变化。即,源极/漏极接触开口222的宽度可以经历第一ild层214与esl 218之间的界面水平附近或周围的突然变化。附加地,由于存在底部拐角部分2240和设置在底部拐角部分2240上方的第二金属衬层228,所以源极/漏极接触开口222还包括与底部拐角部分2240相邻的宽度方向的阶梯变化。
31.参考图1和图10,方法100包括框118,在源极/漏极接触开口222中沉积第一金属层234。第一金属层234构成接触塞240(图11所示)的外周以及与第一介电层220、esl 218、第二金属层228和介电阻挡层224的界面。在一些实施例中,第一金属层234可以包括钴(co)并且可以使用原子层沉积(ald)或等离子体增强ald(peald)来沉积。框116处用于钴(co)沉积的示例性前体可以包括双(乙基环戊二烯基)钴(即,二茂钴)。尽管ald或peald沉积允许第一金属层234是共形的,但是它很慢并且可能增加工艺时间和成本。因此,在一些实施方式中,第一金属层234仅形成为约1nm和约2nm之间的厚度,并且使用具有更大沉积速率的沉积方法来沉积接触塞240的其余部分。在一些替代实施例中,第一金属层234可以包括其他金属,诸如钌(ru)、镍(ni)、钼(mo)或钨(w)。如图10所示,由于第一金属层234对接触塞的外周加衬,因此可以将其称为光环金属层或光环层。
32.参考图1和图11,方法100包括框120,在第一金属层234上方沉积第二金属层236。第二金属层236构成接触塞240的金属填充层。在一些实施例中,第二金属层236可以包括钴(co)并且可以使用cvd、等离子体增强cvd(pecvd)或金属有机cvd(mocvd)来沉积。在这些实施例中,第一金属层234的组成和第二金属层236的组成可以基本相同。在替代实施例中,第二金属层236可以包括其他金属,诸如铜(cu)、钌(ru)、镍(ni)、钼(mo)或钨(w)。第二金属层通过第一金属层234与第一介电层220、esl 218、第二金属衬层228和介电阻挡层224间隔开。在沉积第二金属层236之后,执行诸如化学机械抛光(cmp)工艺的平坦化工艺以去除多余的材料,诸如多余的第一金属层234或多余的第二金属层236。如图11所示,平坦化工艺去除介电层220甚至介电层220的表面部分上方的材料,使得第二金属层236和介电层220的顶面共面。此时,接触塞240基本上形成在源极/漏极接触开口222(图10所示)中。
33.因为图9中的源极/漏极接触开口222包括阶梯式宽度变化,所以图11中的接触塞也包括阶梯式宽度变化。参照图11,接触塞240包括底部240b、位于底部240b上方的下部240l和位于下部240l上方的上部240u。底部240b是指接触塞240的在拐角部分2240的顶面下方的部分。下部240l是指接触塞240的在拐角部分2240的顶面与第一ild层214的顶面之间的部分。上部240u是指接触塞的在第一ild层214的顶面上方的部分。底部240b沿x方向具有第一宽度(w1),下部240l沿x方向具有第二宽度(w2),上部240u的底面沿x方向具有第三宽度(w3),上部240u的顶面沿x方向具有第四宽度(w4)。第四宽度(w4)大于第三宽度(w3),第三宽度(w3)大于第二宽度(w2),并且第二宽度(w2)大于第一宽度(w1)。在一些情况下,第
一宽度(w1)可以在约12nm和约14nm之间,第二宽度(w2)在约13nm和约15nm之间,第三宽度(w3)在约14nm和约16nm之间,并且第四宽度(w4)在约15nm和约17nm之间。可以在下部240l和上部240u之间的接合处观察到阶梯式宽度变化。尽管下部240l和上部240u是连续的,但是从第二宽度w2到第三宽度w3的变化是不连续且突然的。这是由于框114处的介电阻挡层224和第二金属衬层228的回蚀刻。第二金属衬层228的底部向下延伸穿过介电阻挡层224,接触塞240的底部240b延伸到第二金属衬层228的底部。沿着z方向,硅化物部件230的厚度在约4nm和约5nm之间。
34.参考图1和图12,方法100包括框122,执行进一步处理。这样的另外的工艺可以包括顶部硅化物层246的形成、中间接触蚀刻停止层(mcesl)242的沉积、第二ild层244的沉积、源极/漏极接触通孔250的形成以及栅极接触通孔260的形成。该mcesl 242可以包括氮化硅、碳氮化硅或碳氧化硅,并且可以使用cvd、pecvd或ald沉积。在一个实施例中,mcesl 242包括氮化硅。mcesl 242沉积工艺的硅前体可以与接触塞240反应并在接触塞240的顶面上形成顶部硅化物层246。在一个实施例中,顶部硅化物层246可以包括硅化钴。第二ild层244的组成和沉积可以类似于第一ild层214。在一些实施例中,第二ild层244可以包括诸如正硅酸乙酯(teos)氧化物、未掺杂的硅酸盐玻璃或掺杂的氧化硅(诸如硼磷硅酸盐玻璃(bpsg)、熔融石英玻璃(fsg)、磷硅酸盐玻璃(psg)、掺杂硼的硅玻璃(bsg))的材料和/或其他合适的介电材料。在一些实施方式中,可以使用旋涂、cvd、可流动cvd(fcvd)、pecvd或合适的沉积方法来沉积第二ild层244。然后穿过第二ild层244和mcesl 242形成源极/漏极接触通孔开口,以暴露顶部硅化物层246或接触塞240。在相同工艺或单独工艺中,穿过第二ild层244、mcesl 242和介电层220形成栅极接触通孔开口,以暴露第二栅极结构208

2。在一些实施例中,可以使用反应离子蚀刻(rie)来形成源极/漏极接触通孔开口和栅极接触通孔开口。这种rie工艺可以包括等离子体,其具有含氧气体、氢气、含氟气体(例如,cf4、sf6、ch2f2、chf3、ch3f、c4h8,c4f6和/或c2f6)、含碳气体(例如,co、ch4和/或c3h8)、含氯气体(例如,cl2、chcl3、ccl4和/或bcl3)、含溴气体(例如,hbr和/或chbr3)、含碘气体、其他合适的气体和/或等离子体和/或其组合。在预清洗工艺去除接触塞240和第二栅极结构208

2上方的碎屑和污染物之后,然后在源极/漏极接触通孔开口和栅极接触通孔开口中分别形成源极/漏极接触通孔250和栅极接触通孔260。在一些实施方式中,源极/漏极接触通孔250和栅极接触通孔260可以包括钨并且可以使用pvd或无电极电镀来沉积。
35.鉴于图12,本公开的实施例的一些益处是显而易见的。由于框116处的回蚀刻工艺,上部240u(图11所示)的宽度更宽,而没有第二金属衬层228,其导电性小于接触塞240。因此,本公开的方法和结构降低了接触电阻并改进了工艺公差。即使当覆盖层不理想时(沿x方向的箭头所示),降低了源极/漏极接触通孔250完全错过接触塞240或落在第二金属衬层228(或介电阻挡层224)上的可能。
36.本发明的一个方面涉及半导体器件。半导体器件包括栅极结构、与栅极结构相邻的源极/漏极部件、位于源极/漏极部件上方的第一介电层、位于栅极结构和第一介电层上方的蚀刻停止层、位于蚀刻停止层上方的第二介电层、包括延伸穿过第一介电层的第一部分和延伸穿过蚀刻停止层和第二介电层的第二部分的源极/漏极接触件、设置在第二部分和蚀刻停止层之间的金属硅化物层以及设置在第一部分和第一介电层之间的金属氮化物层。
37.在一些实施例中,金属氮化物层包括氮化钛。在一些情况下,金属硅化物层包括硅化钛。在一些实施方式中,源极/漏极接触件包括钴。在一些实施方式中,源极/漏极接触件基本上由钴构成。在一些实施例中,半导体器件还可以包括夹在金属氮化物层和第一介电层之间的介电阻挡层。在一些实施例中,介电阻挡层包括氮化硅。在一些实施例中,金属硅化物层与源极/漏极接触件的第二部分直接接触。在一些实施例中,第一部分包括顶部宽度,第二部分包括底部宽度,并且第二部分的底部宽度大于第一部分的顶部宽度。
38.本公开的另一方面涉及半导体结构。半导体结构包括源极/漏极部件、位于源极/漏极部件上方的第一介电层、位于第一介电层上方的第二介电层、包括延伸穿过第一介电层的第一部分和延伸穿过第二介电层的第二部分的源极/漏极接触件、设置在第一部分和第一介电层之间的导电阻挡层、设置在导电阻挡层和第一介电层之间的介电阻挡层以及设置在第二介电层和第二部分之间并与之接触的金属硅化物层。在一些实施例中,源极/漏极接触件包括位于第一部分和第二部分之间的阶梯宽度变化。在一些情况下,半导体结构还可以包括设置在源极/漏极接触件与源极/漏极部件之间的硅化物部件。在一些实施例中,导电阻挡层的端部延伸穿过介电阻挡层并进入硅化物部件。在一些实施例中,源极/漏极接触件还包括设置在第一部分下方的底部,并且源极/漏极接触件的底部延伸到导电阻挡层的端部中。在一些情况下,第一介电层和第二介电层包括氧化硅,其中,金属硅化物层包括硅化钛,介电阻挡层包括氮化硅,导电阻挡层包括氮化钛,并且源极/漏极接触件包括钴。在一些实施方式中,半导体结构还可以包括设置在第一介电层和第二介电层之间的蚀刻停止层。
39.本公开的又一方面涉及一种方法。该方法包括:接收工件,其包括栅极结构、与栅极结构相邻的源极/漏极部件、位于源极/漏极部件上方的底部蚀刻停止层、位于底部蚀刻停止层上方的第一介电层以及位于第一介电层上方的第二介电层。该方法还可以包括:形成穿过底部蚀刻停止层、第一介电层和第二介电层的源极/漏极接触开口以暴露源极/漏极部件;在工件上方共形沉积介电阻挡层;对介电阻挡层开槽以暴露工件的面向顶部的表面;在对介电阻挡层开槽之后,在工件上方共形沉积金属层;在共形沉积金属层之后,对工件执行退火工艺以形成金属氮化物层;回蚀刻金属氮化物层;以及在回蚀刻之后,在金属氮化物层上方形成接触塞。
40.在一些实施例中,该方法还可以包括:在对介电阻挡层开槽之后,向工件注入锗。在一些实施例中,注入包括光子辅助注入工艺。在一些实施例中,回蚀刻金属氮化物层包括:蚀刻金属氮化物层,直到金属氮化物层的顶面与栅极结构的顶面基本共面。在一些情况下,回蚀刻金属氮化物层包括:在第二介电层的表面上方形成金属硅化物层。
41.本技术的实施例提供了一种半导体器件,包括:栅极结构;源极/漏极部件,与所述栅极结构相邻;第一介电层,位于所述源极/漏极部件上方;蚀刻停止层,位于所述栅极结构和所述第一介电层上方;第二介电层,位于所述蚀刻停止层上方;源极/漏极接触件,包括:第一部分,延伸穿过所述第一介电层,和第二部分,延伸穿过所述蚀刻停止层和所述第二介电层;金属硅化物层,设置在所述第二部分和所述蚀刻停止层之间;以及金属氮化物层,设置在所述第一部分和所述第一介电层之间。在一些实施例中,金属氮化物层包括氮化钛。在一些实施例中,金属硅化物层包括硅化钛。在一些实施例中,源极/漏极接触件包括钴。在一些实施例中,源极/漏极接触件基本上由钴构成。在一些实施例中,还包括:介电阻挡层,夹
在所述金属氮化物层和所述第一介电层之间。在一些实施例中,介电阻挡层包括氮化硅。在一些实施例中,金属硅化物层与所述源极/漏极接触件的第二部分直接接触。在一些实施例中,第一部分包括顶部宽度,其中,所述第二部分包括底部宽度,其中,所述第二部分的底部宽度大于所述第一部分的顶部宽度。
42.本技术的实施例提供了一种半导体结构,包括:源极/漏极部件;第一介电层,位于所述源极/漏极部件上方;第二介电层,位于所述第一介电层上方;源极/漏极接触件,包括:第一部分,延伸穿过所述第一介电层,和第二部分,延伸穿过所述第二介电层;导电阻挡层,设置在所述第一部分和所述第一介电层之间;介电阻挡层,设置在所述导电阻挡层和所述第一介电层之间;以及金属硅化物层,设置在所述第二介电层和所述第二部分之间并与之接触。在一些实施例中,源极/漏极接触件包括在所述第一部分和所述第二部分之间的阶梯宽度变化。在一些实施例中,还包括:硅化物部件,设置在所述源极/漏极接触件和所述源极/漏极部件之间,其中,所述导电阻挡层的端部延伸穿过所述介电阻挡层并进入所述硅化物部件。在一些实施例中,源极/漏极接触件还包括设置在所述第一部分下方的底部,其中,所述源极/漏极接触件的底部延伸到所述导电阻挡层的端部中。在一些实施例中,第一介电层和所述第二介电层包括氧化硅,其中,所述金属硅化物层包括硅化钛,其中,所述介电阻挡层包括氮化硅,其中,所述导电阻挡层包括氮化钛,其中,所述源极/漏极接触件包括钴。在一些实施例中,还包括:蚀刻停止层,设置在所述第一介电层和所述第二介电层之间。
43.本技术的实施例还提供一种方法,包括:接收工件,所述工件包括:栅极结构,源极/漏极部件,与所述栅极结构相邻,底部蚀刻停止层,位于所述源极/漏极部件上方,第一介电层,位于所述底部蚀刻停止层上方,和第二介电层,位于所述第一介电层上方;形成穿过所述底部蚀刻停止层、所述第一介电层和所述第二介电层以暴露所述源极/漏极部件的源极/漏极接触开口,在所述工件上方共形地沉积介电阻挡层;对所述介电阻挡层开槽以暴露所述工件的面向顶部的表面;在对所述介电阻挡层开槽之后,在所述工件上方共形地沉积金属层;在共形地沉积所述金属层之后,对所述工件执行退火工艺以形成金属氮化物层;回蚀刻所述金属氮化物层;以及在所述回蚀刻之后,在所述金属氮化物层上方形成接触塞。在一些实施例中,还包括:在对所述介电阻挡层开槽之后,向所述工件注入锗。在一些实施例中,注入包括光子辅助注入工艺。在一些实施例中,回蚀刻所述金属氮化物层包括:蚀刻所述金属氮化物层,直到所述金属氮化物层的顶面与所述栅极结构的顶面基本共面。在一些实施例中,回蚀刻所述金属氮化物层包括:在所述第二介电层的表面上方形成金属硅化物层。
44.上述内容概括了几个实施例的特征使得本领域技术人员可更好地理解本发明的各个方面。本领域技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他的处理和结构以用于达到与本发明所介绍实施例相同的目的和/或实现相同优点。本领域技术人员也应该意识到、这种等同构造并不背离本发明的精神和范围、并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。例如,通过对位线导体和字线导体实施不同的厚度,可以实现导体的不同电阻。但是,也可以使用改变金属导体的电阻的其他技术。
当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1