基于时频域融合与卷积神经网络的心电信号分类方法

文档序号:35475621发布日期:2023-09-16 17:50阅读:73来源:国知局
基于时频域融合与卷积神经网络的心电信号分类方法

本发明涉及信号分类,尤其涉及一种基于时频域融合与卷积神经网络的心电信号分类方法。


背景技术:

1、近年来心脏类疾病作为一种心血管疾病(cvd)严重威胁着人类的生命健康,它和脑血管疾病是全球导致死亡和残疾发生的主要原因。及早发现有危急的心律失常症状的患者,对于治疗患者的心血管疾病及避免更严重后果的产生有着极大帮助,因此,心脏类疾病的诊断预防与救护治疗是当今医学领域中的重要问题。

2、心电信号具有较为直观的规律性。它代表心脏的电活动。信号中蕴含了大量的生物健康信息。可通过心电信号诊断检测对象是否具有心室心房肥大、心肌缺血与心律失常等疾病,同时心电信号比其他生物电信号更易于检测。因此,心电信号成为了人类最早研究并在医学临床应用的生物信号之一。目前有许多学者进行了关于基于心电信号对心脏健康状态进行识别的研究。心电图波群中的波以字母顺序命名,分别有p、q、r、s、t、u。这些波的形状、幅度、持续时间都表示着不同的心脏状态信息。其中p波产生于心房的去极化。qrs波群由心室去极化产生。它在心电图中的幅度往往最大,所以经常作为心跳周期定位的基准点。t波和u波来自于心室的复极化。传统的心电图诊断方式是专家通过对患者心电图中波群的信息进行观测,从而得出诊断结果。如当qrs波群的持续时间过长时,患者可能存在束支传导阻滞的情况。当st波群产生了异常变化,可能预示着患者存在心肌梗塞或心绞痛等疾病。但传统的ecg诊断方式依赖于医生的专业素养,并且需要复杂的特征提取过程。因此,更多的深度学习方法被用于ecg信号的分类。cui等人使用卷积神经网络(cnn)和支持向量机(svm)对心电信号进行分类,实现对心脏健康状态的诊断。zeng等人将心电信号进行变分模式分解(vmd)并输入人工神经网络(ann),实现了5种心跳类型的识别。jikuo等人提出了一种具有非局部卷积块注意模块(ncbam)的新型卷积神经网络用于单心跳周期的心电信号分类。subasi等人对心电信号使用了基于迭代浮雕和邻域成分分析(nca)的特征选择方式,最终将特征输入深度神经网络(dnn)获得了良好的诊断效果。sinha等人通过12导联心电图信号的频谱提取光谱相干指数(sci)和相位相干指数(pci),将它们特征输入svm分类器集成的框架进行心脏的心肌梗塞诊断。amrani m等人使用了very deep convolutionalneural network(vdcnn)用于心电信号的特征提取,并通过特征融合,减少了模型计算量,最后对ecg信号进行分类,达到了较好的效果与泛化能力。

3、这些研究利用各种方法对心电信号进行特征值提取。最后将提取的特征通过分类器或是神经网络完成对心脏健康状态的诊断。但是这些方法基本只从心电信号的时域或频域表现中入手,没有同时考虑心电信号在时域和频域两方面体现信息,分类精度仍不够高。


技术实现思路

1、本发明提供基于时频域融合与卷积神经网络的心电信号分类方法,解决的技术问题在于:如何融合单心跳周期在时域和频域两个视角的表现,综合地对心电信号进行分类。

2、为解决以上技术问题,本发明提供基于时频域融合与卷积神经网络的心电信号分类方法,包括步骤:

3、s1、对整段心电信号进行滤波,以滤除噪声;

4、s2、对滤波后的整段心电信号的r波位置进行定位,并根据定位的r波位置提取多个心跳周期信号;

5、s3、对每个心跳周期信号进行快速傅里叶变换获取其频域信息;

6、s4、将每个心跳周期信号与其频域信息进行拼接,得到多条时频域拼接数据;

7、s5、将每一条时频域拼接数据输入卷积神经网络中进行分类,得到对应的分类结果。

8、进一步地,所述步骤s1具体包括步骤:

9、s11、使用多阶daubechies小波作为小波变换的小波基对整段心电信号进行n个尺度上的分解,得到n个低频分量和n个高频分量,n≥3;

10、s12、将频率最高的两个高频分量的小波系数置零,设置阈值λ的方式使用软阈值滤波将其他高频分量中小于阈值λ的小波系数置零;

11、s13、将频率最低的低频分量与经步骤s12处理后的高频分量进行信号重构,得到滤除噪声后的整段心电信号。

12、进一步地,在所述步骤s12中,阈值λ的计算方式如下:

13、

14、其中,d为处理后的小波系数,c为信号长度,median表示取中位数。

15、进一步地,所述步骤s2具体包括步骤:

16、s21、使用db2小波基对滤波后的整段心电信号进行三个尺度的分解;

17、s22、对第三个尺度的高频分量进行小波重构获得重构信号f(n);

18、s23、在重构信号f(n)中定位出r波位置;

19、s24、根据r波位置定位的结果,将滤波后的整段心电信号中每一个r波位置与前面n-1个和后面2n个数据,一共3n个数据提取为一个待识别的心跳周期信号,从而提取多个心跳周期信号。

20、进一步地,所述步骤s23具体包括步骤:

21、s231、对重构信号f(n)中qrs波群产生的极大值进行定位,设极大值的位置为a点;

22、s232、采用大小为j的窗口以滑动步长s在重构信号f(n)上滑动;

23、s233、判断是否还有三个窗口,若否则继续判断,若是则进入下一步;

24、s234、获取下一个窗口的数据,并找到该数据中的最大值和最小值,若最大值与最小值之间的差值大于阈值则进入下一步,否则返回至步骤s233;

25、s235、寻找下一个窗口中a点位置的左右k区间内与信号均值相差最大的值,设该值的位置为b1点,设置b2为上一个b1点的位置;

26、s236、计算b1点和b2点之间的距离,若距离大于距离阈值l,则将该b1点记录为r波位置,否则进入下一步;

27、s237、判断b1点的信号值与其区间的信号平均值的差异是否大于b2点的信号值,若是则用b1位置取代b2,若否则舍弃该位置并返回至步骤s233。

28、进一步地,所述步骤s3具体包括步骤:

29、s31、使用快速傅里叶变换对每个心跳周期信号进行频率为采样频率f的快速傅里叶变换,获得该心跳周期信号的频域信息;

30、s32、保留频域信息中的前一半信息参与拼接。

31、进一步地,在所述步骤s5中,所述卷积神经网络被构建为11层架构,第一层为输入层,由多个输入节点构成;第二层为一维卷积层,由4通道21×1的卷积核构成,卷积核移动步长为1,填充方式为same;第三层为最大池化层,池化范围为2×1,移动步长为2;第四层是一维卷积层,由16通道23×1的卷积核构成,卷积核移动步长为1,填充方式为same;第五层为最大池化层,池化范围为2×1,移动步长为2;第六层是一维卷积层,由32通道25×1的卷积核构成,卷积核移动步长为1,填充方式为same;第七层为平均池化层,池化范围为2×1,移动步长为2;第八层是一维卷积层,由64通道27×1的卷积核构成,卷积核移动步长为1,填充方式为same;第九层为flatten layer,将上一层的卷积结果拼接成3840×1的特征向量;第十层为全连接层,由128个全连接神经元组成,神经元的激活函数为relu;第十一层为输出层,其中的函数为softmax。

32、进一步地,在训练所述卷积神经网络的过程中采用的损失函数为交叉熵。

33、进一步地,将mit-bih心率失常数据库中的102号和104号数据弃用,将剩余46条数据中每个心跳的r波波峰位置进行标注,并提取得到99188个心跳周期信号,并将其中70%的心跳周期信号用于对所述卷积神经网络的训练,剩下的30%用于测试。

34、进一步地,所述卷积神经网络有五类分类标签,分别是正常心跳、房性早搏、室性早搏、左束支阻滞和右束支阻滞这五种心跳状态类型。

35、本发明提供的基于时频域融合与卷积神经网络的心电信号分类方法,首先,通过小波分解完成对心电信号滤波。其次,通过每个心跳周期的r波位置对单个心跳周期进行提取。提取的心跳信号被快速傅里叶变换后获得其频域信息。时域信号与频域信号进行拼接之后被输入一维卷积神经网络(1d-cnn)进行融合并提取特征。最后特征矩阵被输入全连接神经网络完成分类。

36、本发明提供的基于时频域融合与卷积神经网络的心电信号分类方法,其主要贡献有三个方面:

37、1、通过cnn融合单心跳周期在时域和频域两个视角的表现,综合地对心电信号进行分类,提高了对单心跳周期心电信号的分类准确率,使其超过了最新方法;

38、2、提出了一种新颖的心跳周期定位方法,该方法可同时对正常心电信号与病变心电信号的r波波峰位置进行标定,这使心电信号中的每个心跳周期都能较好地被分割,从而提升后续分类的准确性;

39、3、引入了快速傅里叶变换对心跳周期的频域信号进行提取,并将该频域信号与时域信号进行融合,以充分利用信号的时频域特征,有助于提升后续分类的准确性;

40、4、为了使模型的体积更小并在有限的硬件资源条件下进行心电信号的分类,使用了层数较浅的cnn,同时,采用了较大感受野与较小步长的卷积过滤器,这使保证浅层网络下特征提取的质量得到提高,本模型很适合被部署至小型设备中,这为心电信号辅助诊断装置的小型化提供了思路。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1