掩模建模方法与流程

文档序号:14608567发布日期:2018-06-05 20:19阅读:391来源:国知局
掩模建模方法与流程

本发明实施例有关于一种掩模建模方法,特别有关于掩模图案边缘交互作用的掩模建模方法。



背景技术:

半导体装置工业经历了快速成长。于集成电路演化的过程中,功能密度(functional density)通常随着工艺可产生的几何尺寸缩小而提高。尺寸缩减过程通常可带来益处,例如增加制造的效率以及降低相关成本,但也会增加半导体装置的设计以及制造的复杂度。

光学邻近校正(optical proximity correction,OPC)为一种应用于半导体装置的设计以及制造的技术。光学邻近校正包括应用将改变半导体装置的布局的掩模设计的特征,以补偿发生于使用光刻工具期间辐射的绕射(diffraction)以及光刻光阻的化学工艺所引起的失真。因此,光学邻近校正提供了可在装置的基板上产生更符合半导体装置设计者(例如集成电路装置设计者)的设计布局的电路图案。光学邻近校正包括使用一倍缩掩模(reticle)或者掩模进行的所有解析度增益技术,包括例如增加次解析度特征(sub-resolution feature)至掩模中以于实体设计中与原图案相互作用;增加例如截线(serif)的特征至原图案中;增加割阶(jog)至原图案的特征中;修改主要特征的图案形状或者边缘;以及其它加强处理等。随着工艺节点(process nodes)的缩小,光学邻近校正处理以及所得到的图案变得更加复杂。

先进的光学邻近校正处理其中一个类型为一反向光刻技术(inverse lithography technology,ILT)。反向光刻技术包括以反方向模拟光学光刻技术,并于基板上使用所需的图案作为上述模拟的输入。反向光刻技术可于掩模或者倍缩掩模上产生复杂的曲线图案,而不是于常规的掩模或者倍缩掩模上形成曼哈顿图案。不幸的是,关于非曼哈顿图案方面,常规的反向光刻技术掩模以及其制造方法仍然面临各种困难。

因此,尽管现有的反向光刻技术掩模通常已足以满足其预期目的,但并非在所有方面都令人满意,特别是缺少可处理非曼哈顿图案的一精确掩模模型。



技术实现要素:

本发明一实施例提供一种掩模建模方法,包括:接收一掩模布局;将一无交互作用的掩模模型应用于掩模布局;将一边缘交互作用的模型应用于掩模布局,边缘交互作用的模型描述因两或多个彼此交互作用的边缘的多组合所引起的影响;将一薄掩模模型应用于掩模布局;以及根据无交互作用的掩模模型的应用、边缘交互作用的模型的应用以及薄掩模模型的应用来决定一近场。

附图说明

本发明可通过阅读以下的详细说明以及范例并配合相应的附图以更详细地了解。需要强调的是,依照业界的标准操作,各种特征并未依照比例绘制,并且仅用于对其进行说明目的。事实上,为了清楚论述,各种特征的尺寸可以任意地增加或者减少。

图1为根据本发明各个实施例所述的集成电路制造系统的一实施例的简化方块图。

图2为根据本发明各个实施例所述的掩模公司的详细方块图。

图3为根据本发明各个实施例所述的如何产生一掩模的一近场的图形化说明。

图4为显示根据本发明各个实施例所述的一处理流程的一方法的流程图。

图5为根据本发明各个实施例所述的用于该图案的示例性非曼哈顿图案以及若干二维核函数的图形化说明。

图6为根据本发明各个实施例所述的二维核函数的分解以及旋转的图形化说明。

图7为根据本发明各个实施例所述的如何利用二维核函数对一非曼哈顿掩模图案进行边缘校正处理的一图形化说明。

图8为根据本发明各个实施例所述的制备二维核函数的一方法的流程图。

图9为显示根据本发明各个实施例所述的如何通过回归分析(regression analysis)产生二维核函数的简化示例。

图10为显示根据本发明各个实施例所述的非曼哈顿掩模图案以及通过非曼哈顿图案投影于一晶圆上的一空间图像(aerial image)。

图11为根据本发明各个实施例所述的对一掩模进行建模的一方法的流程图。

图12为根据本发明一实施例所述的介于两个相邻掩模图案之间的一边缘交互作用的图形说明。

图13为显示根据本发明一实施例所述的一处理流程的一方法的流程图。

图14为根据本发明一实施例所述的确定边缘交互作用的一方法的流程图。

图15为根据本发明一实施例所述的一双边缘(two-edge)(2E)核函数如何运作的图形说明。

图16为根据本发明一实施例所述的一三边缘(three-edge)(3E)核函数如何运作的图形说明。

图17为显示根据本发明一实施例所述的加速边缘交互作用的计算的两种技术。

图18为根据本发明一实施例所述的如何使用边缘交互作用核函数执行一边缘交互作用校正过程的图形说明。

图19为显示根据本发明一实施例所述的取得二维边缘交互作用核函数的一方法的流程图。

图20为显示根据本发明一实施例所述的边缘交互作用、边缘交互作用核函数以及掩模图案之间的一些数学关系。

图21为显示根据本发明一实施例所述的掩模建模方法的流程图。

附图标记说明:

100~集成电路制造系统

120~设计公司

122~集成电路设计布局

130~掩模公司

132~掩模数据准备模块

144~掩模制造模块

150~集成电路制造商

152~晶圆

154~R&D晶圆

156~经验分析

160~集成电路装置

180~掩模设计系统

182~处理器

184~系统存储器

186~大容量储存装置

188~通信模块

190~掩模

192~第一GDSII文件

194~第二GDSII文件

200~真实近场

210~薄掩模场

220~校正场

230~核函数

240~放大视窗

300~方法

310~380~步骤流程

400~非曼哈顿图案

411~416~具不同方位角的二维核函数

450~二维核函数

451~453~分量

460~经过旋转的二维核函数

461~463~分量

600~方法

610~670~步骤流程

700~空间图像

800、1000、1100、1500、1800~方法

810~890、1010~1080、1110~1170、1510~1580、1810~1890~步骤流程

900、901~掩模图案

910、911~边缘

920~真实近场

930、940~核函数

950~边缘交互作用

1200、1260~1267~次区

1210、1211~非曼哈顿图案

r~像素

r1、r2~边缘像素

M1、M2~次区尺寸

L~长度

N1~第一尺寸

N2~第二尺寸

具体实施方式

以下提供了许多不同的实施例、或者示例,用于实现本发明的不同特征。以下公开各种元件以及配置的具体实施例或者示例以简化描述本发明。当然这些仅为示例但不以此为限。举例来说,说明书中第一特征位于第二特征上方的结构可包括以第一特征与第二特征直接接触的形式,以及可包括以于第一特征与第二特征之间插入额外的特征的形式,使得第一特征以及第二特征并未直接接触。此外,本发明于各种示例中将重复标号和/或者字母。上述的重复用于简化以及清楚的目的,并非用以指定各种实施例和/或者上述配置中的关系。

此外,空间相关术语例如“在…之下”、“以下”、“较低的”、“以上”、“上方”等空间相关术语在此被用于描述图中例示的一个元件或者特征与另一元件或者特征之间的关系。空间相关术语可包括设备于使用或者操作中除了图中描绘的方位以外的不同方位。设备可以其它方式被定向(旋转90度或者往其它方位),并且在此使用的空间相关描述词应可被相应地理解。

随着半导体制造进展到越来越小的技术节点(technology node),各种技术用以协助实现小型的装置尺寸。其中一个例子为反向光刻技术。更详细地,一般的掩模通常将曼哈顿图案用于包括具有直边的多边形(例如矩形、正方形等)的集成电路特征。在较旧的半导体技术节点中,于晶圆上制造的集成电路特征(使用一般的光刻掩模)可合理地近似于掩模上的曼哈顿图案。然而,随着装置尺寸的缩小,掩模上的几何形状可能明显地偏离实际制造的集成电路特征以及于晶圆上各自的曼哈顿图案。尽管偏差可以改善制造的工艺窗口(process window),但其也会增加建模的难度。

反向光刻技术通过将光学邻近校正(OPC)视为逆成像问题以解决上述问题,并使用设计图案的整个区域而非仅使用设计图案的边缘来计算掩模图案。尽管反向光刻技术可能在某些情况下产生非直观的(unintuitive)掩模图案(例如不具有直线或者直线边缘的自由形状(freeform)或者任意形状的图案),但反向光刻技术可用于制造具有高保真度和/或明显改善焦深的掩模以及曝光宽容度(exposure latitude)的掩模,从而可转印出其他方法无法实现的特征(即几何图案)。

然而,反向光刻技术也可能产生其它挑战。举例来说,建模掩模的常规技术针对曼哈顿图样进行优化。换句话说,这些常规的掩模建模技术假设掩模上的图案仅具有直的或者线型边缘。由于反向光刻技术使用具有非直线或者曲线边缘(例如具有任意角度的图案)的图案的掩模,常规掩模光刻建模对于反向光刻技术掩模可能无法实现预期的结果。

本发明实施例通过产生可快速旋转的二维核函数以克服上述问题,以精确地建模具有自由形状或者任意掩模图案的反向光刻技术掩模。以下将通过参阅图1~11对本发明的各个实施例提出更详细的讨论。

图1为一集成电路(IC)制造系统100以及一与集成电路制造系统相关的IC生产流程的简单方块图。集成电路制造系统100包括若干实体机构(entity),例如一设计公司(design house)120、一掩模公司(mask house)130、以及一集成电路制造商(IC manufacturer)(即晶圆厂)150。前述的实体机构于设计、开发、以及制造周期和/或于制造集成电路装置160相关的服务(service)中彼此互动。前述多个实体机构可以通信网络(communication network)连接,例如,单一网络或是多种不同的网络,例如内部网络(intranet)或是网际网络,并可包括有线或是无线的通信管道(communication channel)。每一实体可与其它实体机构互动,且可由其它实体机构提供服务或是接收服务。设计公司120、掩模公司130和/或集成电路制造商150可整合为一较大企业实体的一部分。

在各种实施例中,设计公司120可包括一个或者多个设计团队,用以产生一集成电路设计布局(IC design layout)122。集成电路设计布局122包含各种设计给集成电路装置(IC device)的几何图案(geometrical pattern)。举例来说,几何图案可对应于金属层、氧化层或是半导体层的图案,以组成集成电路装置160中不同的元件。这些不同的层结合后形成集成电路装置160的各种特征。举例来说,集成电路设计布局122的各个部分可包括例如形成于一半导体基板(例如硅晶圆)上以及设置于半导体基板的各个金属层上的主动区、栅极、源极以及漏极、层间互连(interlayer interconnection)的金属线或者导孔(via)以及焊垫(bonding pad)的开口(opening)等特征。设计公司120进行一设计程序以形成集成电路设计布局122。设计程序可包括逻辑设计(logic design)、实体设计(physical design)、和/或配置(place)以及线路安排(route)。集成电路设计布局122以具有与几何图案相关的信息的一个或者多个数据文件(data file)来呈现。于一些实施例中,集成电路设计布局122可以GDSII文件格式或者DFII文件格式来表示。

于一些实施例中,设计公司120可通过例如前述的网络连接将集成电路设计布局122发送至掩模公司130。接着,掩模公司130可利用集成电路设计布局122以制造一个或者多个用以制造集成电路装置160中的各个层的掩模。于各种实施例中,掩模公司130可进行掩模数据准备(mask data preparation)132(以下称为掩模数据准备模块)。于掩模数据准备模块132中,集成电路设计布局122被编译为可通过一掩模直写器实体写入的形式,以及于掩模制造(mask fabrication)144(以下称为掩模制造模块144)中,修正由掩模数据准备模块132准备好的集成电路设计布局以符合一特定的掩模直写器和/或掩模制造商,进而制造该掩模。于图1的示例中,将掩模数据准备模块132以及掩模制造模块144描述为两个独立的元件;然而,于一些实施例中,掩模数据准备模块132以及掩模制造模块144可统称为掩模数据准备。

在一些示例中,掩模数据准备模块132包括应用一个或者多个解析度增益技术(RET)以补偿潜在的光刻误差,例如可能由绕射、干涉(interference)或者其它工艺影响所引起的光刻误差。于一些示例中,光学邻近校正(OPC)可用以根据周围几何形状的密度调整线宽、将类似“狗骨头(dog-bone)”形状的末端盖(end-cap)增加至线的末端以防止线末端的缩短、校正电子束(e-beam)邻近效应、或者用于本领域已知的其它目的。举例来说,光学邻近校正技术可添加次解析辅助特征(sub-resolution assist features,SRAFs),其可包括例如根据光学模型或者规则增加散射条(scattering bar)、截线(serifs)和/或锤头(hammerhead),使得于进行光刻工艺后,提高晶圆上的最终图案的解析度以及精确度。掩模数据准备模块132还可包括进一步的解析度增益技术,例如离轴照射(off-axis illumination,OAI)、相位移掩模(phase-shifting mask,PSM)、其它合适的技术或者其组合。

一种可与光学邻近校正结合使用的技术为反向光刻技术(inverse lithography technology,ILT),其将光学邻近校正视为逆成像问题,并使用设计图案的整个区域而非仅用设计图案的边缘来计算掩模图案。尽管反向光刻技术可于某些情况下产生不直观的掩模图案,但其可用于制造具有高保真度和/或实质上改善的焦深以及曝光宽容度的掩模,藉此以转印其它方法可能无法实现的特征(即几何图案)。于一些实施例中,反向光刻技术工艺可更一般地被称为基于模型(MB)的掩模校正处理。可以肯定的是,在一些示例中,如前述的其它解析度增益技术以及那些可使用用以计算次解析度辅助特征外型等的模型也属于基于模型的掩模校正过程的范畴。

掩模数据准备模块132还可包括一掩模规则检查器(mask rule checker,MRC),其通过一组掩模建模规则来检查已经过一个或者多个RET处理(例如OPC、ILT等)的集成电路设计布局。掩模建模规则可能包含某些几何以及连接限制,以确保足够的余裕来容许半导体工艺的变异。于某些情况下,掩模规则检查器修改集成电路设计布局以补偿掩模制造模块144可能遇到的限制,其可能通过一个或者多个RET步骤来进行修改以满足掩模建模规则。

于一些实施例中,掩模数据准备模块132可进一步地包含光刻工艺检查(lithography process checking,LPC),LPC模拟IC制造商150制造集成电路装置160的实施过程。LPC可根据集成电路设计布局122模拟此流程所制成的装置,例如集成电路装置160。于LPC模拟中的工艺参数可包含与IC制造周期各种工艺相关的参数、与制造IC的工具相关的参数和/或制造过程的其它方面相关的参数。举例而言,LPC可考虑各种因素,例如投影对比度、焦深(depth of focus,DOF)、掩模误差增强因子(mask error enhancement factor,MEEF)、其它合适的因素或其组合。如下文更详细地描述,模拟的工艺(例如由LPC实施)可用于提供工艺感知规则表的产生(例如用于次解析辅助特征(SRAF)的插入)。因此,于各种实施例中,考虑到IC制造商150的处理条件,可针对具体集成电路设计布局122产生SRAF规则表。

于一些实施例中,于模拟的制造装置已通过LPC产生之后,若模拟的装置布局在形状上并不足够精确地满足设计规则,那么可重复于掩模数据准备模块132中的某些操作(例如OPC以及MRC)以进一步地优化集成电路设计布局122。在上述情况下,还可更新先前产生的SRAF规则表。

应理解的是,掩模数据准备模块132的描述已因便于描述的目的进行简化,且数据准备可包含另外的图形,例如用于根据制造规则修改集成电路设计布局的逻辑运算(logic operation,LOP)。此外,于掩模数据准备模块132期间应用于集成电路设计布局122的工艺可以多种不同顺序进行。

于掩模数据准备模块132后以及掩模制造模块144期间,可根据修改的集成电路设计布局制造一掩模或者一组掩模。掩模可以各种技术形成。于一个实施例中,可通过使用二元技术形成掩模。于一些实施例中,掩模图案包括不透明(opaque)区域以及透明区域。用以曝光涂覆于一晶圆上的一辐射敏感性材料层(radiation-sensitive material layer)(例如光阻剂)的一辐射束(例如紫外(UV)光束)被不透明区域所阻挡并传递穿过透明区域。于一个示例中,二元掩模(binary mask)包括一透明基板(例如熔融石英)以及涂覆于掩模的不透明区域中的不透明材料(例如铬)。于一些示例中,利用一相位移技术形成掩模。于相位移掩模(phase shift mask,PSM)中,形成于掩模上的图案中的各种特征被配置为具有预先配置的相位差,以改善图像解析度以及成像品质。于各种示例中,相位移掩模可为衰减式相位移掩模或者交替式相位移掩模。

于一些实施例中,IC制造商150(例如半导体铸造厂)使用由掩模公司130所制造的掩模(或多掩模)将一个或者多个掩模图案转移至生产晶圆(production wafer)152上,从而于生产晶圆152上制造集成电路装置160。IC制造商150可包括用于制造各种不同IC产品的无数制造设备的IC制造设备。举例来说,IC制造商150可包括用于多IC产品(即前端工艺(front-end-of-line,FEOL)生产)的第一制造设施,而第二制造设施可提供用于集成电路产品的内连线(interconnection)以及封装的后端生产(即后端工艺(back-end-of-line,BEOL)生产),以及第三制造设施可提供其它服务给制造商事业体。

在各种实施例中,被制造的集成电路装置160内部和/或其上的半导体晶圆(即生产晶圆152)可包括硅基板或者具有材料层形成于其上的其它基板。其它基板材料可包括另一合适的元素半导体,例如金刚石或者锗;合适的化合物半导体,例如碳化硅、砷化铟或者磷化铟;或者合适的合金半导体,例如碳化硅锗、磷砷化镓或磷化铟镓。于一些实施例中,半导体晶圆还可包括各种掺杂区域、电介质材料以及多层互连(形成于随后的制造操作中)。此外,掩模(或者多掩模)可用于各种工艺。举例来说,掩模(或者多掩模)可用于离子注入工艺中,以于半导体晶圆中形成各种掺杂区域,用于蚀刻工艺中,以形成半导体晶圆中的各种蚀刻区域和/或其它合适的工艺。

必须理解的是,IC制造商150可使用由掩模公司130所制造的掩模(或者多掩模)将一个或者多个掩模图案转移至研发(research and develop,R&D)晶圆154上。一个或者多个多光刻工艺可于R&D晶圆154上进行。R&D晶圆154于光刻处理后,可将R&D晶圆154转移至用于经验分析(empirical analysis)156的一测试实验室(例如计量实验室或者参数测试实验室)。搜集来自R&D晶圆154的经验数据,然后将其转移至掩模公司130以便于掩模数据准备132。

图2为根据本发明各个实施例的图1所示的掩模公司130的详细方块图。于所示的实施例中,掩模公司130包括掩模设计系统180,其可用以进行与图1的掩模数据准备模块132的相关描述的功能。掩模设计系统180为一信息处理系统,例如电脑、服务器、工作站或者其它合适的系统。掩模设计系统180包含处理器182,此处理器182通信耦接至一系统存储器184、大容量储存装置186以及通信模块188。系统存储器184提供具有非暂态且电脑可读取储存媒体,以供处理器182执行电脑指令。系统存储器的示例可包含随机存取存储器(random access memory,RAM)装置(例如动态随机存取存储器(dynamic RAM,DRAM)、同步动态随机存取存储器(synchronous DRAM,SDRAM)、固态存储器装置、和/或本发明实施例所属技术领域现有的各种其它存储器装置。电脑程序、指令以及数据储存于大容量储存装置186中。大容量储存装置186的示例可包含硬盘、光盘、磁光盘、固态储存装置、和/或本发明实施例所属技术领域现有的各种其它大容量储存装置。通信模块188可用以与集成电路制造系统100中的其它元件(例如设计公司120)传递信息(例如集成电路设计布局文件)。通信模块188的示例可包含以太网络卡、IEEE 802.11 WiFi装置、蜂巢式数据无线电装置(cellular data radio)、和/或本发明实施例所属技术领域现有的合适装置。

在操作上,掩模设计系统180用以在集成电路设计布局122通过掩模制造模块144转移至掩模190之前根据各种不同设计规则以及限制来操作集成电路设计布局122。举例来说,于一实施例中,包括OPC、ILT、MRC、和/或LPC的掩模数据准备模块132可被实施为掩模设计系统180上所执行的软件指令。于此实施例中,掩模设计系统180自设计公司120接收包含集成电路设计布局122的第一GDSII文件192。于掩模数据准备模块132完成后,掩模设计系统180传送包含经修改的集成电路设计布局的第二GDSII文件194至掩模制造模块144。于另一实施例中,集成电路设计布局可以其它文件格式(例如DFII、CIF、OASIS或其它合适的文件类型)于集成电路制造系统100的元件之间传输。除此之外,于其它实施例中,掩模设计系统180以及掩模公司130可包含额外和/或不同的元件。

在光刻处理中,二元掩模图案的近场类似于掩模图案,但具有模糊(blurred)的图案边缘。因此,二元掩模图案的近场可近似于薄掩模模型,且此薄掩模模型通过分别将两个不同的恒定场数值(constant field value)分配给被图案所占用或者未占用的区域的掩模图案。为了改善近场模型(near field model)的准确性,需要确定一个校正单元(也称为一核函数)。经确定后,沿着掩模图案的(锐利)边缘应用该核函数,以产生将被增加至薄掩模场(thin mask field)的校正场。这将产生一个具有模糊边缘的场,其非常类似于掩模的真实近场。

图3为如何使用上述处理(即将包含核函数的校正场加到薄掩模场的上)产生掩模的近场的图形化说明。举例来说,图3显示多边形掩模图案的真实近场200。从图3中可看出真实近场200具有模糊的边缘。通过结合一薄掩模场210以及一校正场220可近似于真实近场200。薄掩模场210具有尖锐的边缘(即不模糊)。校正场220由核函数230形成,图3中的放大视窗240显示核函数的一些示例。精确的核函数对于任何掩模图案的真实近场的精确模拟为不可或缺的。

值得注意的是,图3中的核函数230为一维核函数,其仅沿着一个维度改变,而其它维度则为均匀的。一维核函数对于曼哈顿图案(例如图3中所示的图案)正常作用。然而,对于非曼哈顿图案而言(例如用于ILT中的曲线图案或者具有任意角度的图案),一维核函数可能不足以产生精确的校正场,也就难以产生精确的近场。为了克服此一问题,本发明实施例使用可快速旋转的二维核函数。这些二维核函数用于产生加于薄掩模场之上的校正场,以对用于ILT中的非曼哈顿图案产生准确的近场,以下将提出更详细的描述。

图4为本发明一实施例所述的描述方法300的整体流程的流程图。

方法300包括操作310,载入掩模布局。掩模布局可用于ILT掩模,ILT掩模如前所述可包含用于针对某些集成电路图案进行优化的非曼哈顿形状。举例来说,在此所载入的掩模布局可包括曲线图案边缘(curvilinear pattern edges)。

方法300包括操作320,对操作310所载入的掩模布局进行预处理。于一些实施例中,预处理可包括例如栅格化(rasterization)和/或抗混叠滤波(anti-aliasing filtering)的操作。栅格化是指将以向量绘图格式(vector graphics format)描绘(例如包括掩模图案的多边形形状)的图像转换为包括像素(或者点)的栅格图像的工作。于栅格化过程中,可取得一高解析度结果。然而,由于可能不需要如此高的解析度,因此高解析度可能被下转(down-convert)为较低的解析度。这种下转过程可能涉及可能导致混叠的信号处理。对于不感兴趣的(not of interest)高频混叠信号而言,可通过抗混叠滤波的操作将其滤除。

方法300包括操作330,为操作320中所处理的掩模布局构建非曼哈顿图案的一边缘分布以及一边缘指向图(orientation map)。操作330所相关的更详细的描述将于后续加以说明。

方法300包括操作340,将不同的旋转分解的核函数(rotationally decomposed kernel)(例如二维核函数)应用于边缘以及指向图以取得(对于非曼哈顿图案的)边缘校正。换句话说,尽管在此所述的校正场类似于图3所示的校正场220,但操作340使用二维核函数。操作340所相关的更详细的描述将于后续加以说明。

方法300包括操作350,将薄掩模模型应用于操作320中所取得的经处理的掩模布局。如前所述,薄掩模模型包含掩模上的该些图案的二元建模。换句话说,薄掩模模型将掩模图案描述为具有锐利边缘(例如黑色以及白色)。当薄掩模模型被应用于经处理的掩模布局时,可取得薄掩模场(thin mask field)(例如图3中的薄掩模场210)。当然,由于本发明实施例可使用掩模上的非曼哈顿图案,因此本发明实施例所取得的薄掩模场也可具有非曼哈顿形状。

方法300包括操作360,结合薄掩模结果(于操作350中取得)以及边缘校正(于操作340中取得)以取得一近场。同样地,边缘校正可被视为类似于图3中的校正场(尽管是由二维核函数得到的)。校正场的核函数可沿着掩模图案的边缘来铺置以产生校正场,该校正场将模糊边缘增加至薄掩模场以近似掩模图案的真实近场。

方法300包括操作370,将光学模型应用于近场(在操作360中取得)以取得晶圆上的空间图像。操作370也可被视为进行曝光模拟。

方法300包括操作380,将光阻模型应用于空间图像以取得晶圆上的一最终光阻图像。操作380也可被视为进行光阻模拟。

请参阅图5以更进一步地讨论操作330和340,图5为非曼哈顿图案400的一示例以及用于非曼哈论图案400的若干二维核函数411~416的示例的图形化说明。非曼哈顿图案400以像素网格的形式显示,其中每个像素具有对应于X轴的尺寸(X-axis dimension)Δx以及对应于Y轴的尺寸(Y-axis dimension)Δy。于一些实施例中,每个Δx以及Δy的范围介于1nm至32nm之间。非曼哈顿图案400包含曲线边缘。也可以说非曼哈顿图案400具有任意的角度(而并非与曼哈顿图案相同仅具有0度、90度、180度以及270度的角度)。必须理解的是,由于图案400并不具有明显分开的边缘区段,因此其也可被视为具有单一连续边缘,其中边缘由数个点所构成,每个点具有与其相关联的二维核函数。

非曼哈顿图案400的边缘上的像素被称为边缘像素。这些边缘像素可以通过计算图案的梯度(或者梯度量值)加以辨识。根据所应用的梯度方法以及抗混叠滤波器,边缘可能具有几个像素的宽度。图5中的边缘像素在视觉上强调显示。这些边缘像素中的每一者包含非曼哈顿图案400的边缘的一区段。每个像素中边缘的区段的指向可通过该边缘区段的法线(也称为法线向量)加以确定。相对于表面的法线/向量指垂直或者正交于该表面的线/向量。因此,与任何边缘像素相关联的法线/向量为与特定像素的边缘的区段垂直或者正交的线/向量。

于辨识出边缘像素(例如通过梯度)以及确定每个像素中的边缘区段的指向(例如通过判断法线/向量)后,将二维核函数应用于每个像素中相应的边缘区段。二维核函数可各自具有其方位角,此方位角相应于像素的边缘区段的指向。换句话说,二维核函数沿着非曼哈顿图案400的边缘以不同角度旋转,每个二维核函数为非曼哈顿图案400中一对应边缘的指向的函数。

图5显示二维核函数411~416的示例。举例来说,二维核函数411具有一种方位角、二维核函数412具有另一种方位角、二维核函数413具有第三种方位角、二维核函数414具有第四种方位角、二维核函数415具有第五种方位角,以及二维核函数416具有第六种方位角。第一、第二、第三、第四、第五以及第六种方位角皆彼此不同。

本发明实施例有关于一种方法其可快速且准确地决定应该被应用围绕于非曼哈顿图案400的边缘的各种旋转的二维核函数。图6中为显示二维核函数的分解以及旋转的图形化说明。首先,图6显示二维核函数450的示例。二维核函数450尚未旋转,即其旋转0度。由于核函数450为二维的,因此其具有两个自由度(degrees of freedom),在这种情况下可以用极坐标表示。举例来说,二维核函数450可在极坐标中表示为f2D(r,θ),其中”2D”表示其本质上为二维的,”r”表示半径部分(也称为极坐标的径向坐标(radial coordinate)),”θ”表示极坐标的角度部分(也称为角坐标或者极角(pole angle))。

如图6所示,本实施例中的二维核函数450包括部件(portion)450A以及一个大于部件450A的部件450B。部件450A以及部件450B相连于对应于极坐标系的原点(即r=0)。于图5中,二维核函数于核函数的原点与每个边缘像素相交。

二维核函数450被分解为若干分量(component),其中图6中的一些示例显示所分解的分量451、452以及453。具有不同旋转对称性的分解分量以hn(r)einθ的形式表示,其中“n”为分量的顺序。因此,对于分量451而言,“n”为0,对于分量452而言,“n”为1,以及对于分量453而言,为“n”为2。可以理解的是,“n”包含所有整数(正整数、负整数以及0),并可自-∞变化至∞。“r”以及“θ”分别为径向以及角坐标。“i”为负1的平方根。

必须理解的是,二维核函数450理论上可被分解成无限多个分量。而所分解的分量的数量越多,越能准确地近似二维核函数450。然而,实际上,少量的分量通常足以精确地表示二维核函数450。

当二维核函数450旋转成二维核函数460时,其可被分解为若干分量,其中图6中的一些示例显示所分解的分量461、462以及463。同样地,二维核函数460可分解成无限多个分量,但少量的分量即可足以准确地近似二维核函数460。分量461~463分别与分量451~453相关(或分别为其函数)。举例来说,分量461为分解分量451以及常数C0的乘积,分量462为分量452以及常数C1的乘积,以及分量463为分量453以及常数C2的乘积。于图6所示的实施例中,C0=1、其中”exp(x)”指自然指数函数,即等同于ex。于分量的数量为n的实施例中,常数Cn可表示为其中“n”为分量的顺序。如前所述,“n”涵盖所有整数,并可变化于-∞到∞之间。

值得注意的是,θ以及表示不同的东西。如前所述,θ表示二维核函数的角坐标,其取决于图5中的二维核函数的位置,而代表图5中二维核函数的旋转角度(即通过每个边缘像素的法线/向量所确定的方位角)。

由此可以看出因为所分解的分量461~463可仅通过将分解的分量451~453乘上其各自的常数C0、C1以及C2而导出,因此相较于将坐标自(x,y)变换为的常规旋转,二维核函数450的旋转(至旋转后的二维核函数460)可被更快速且更准确地进行。

图7为根据本发明的实施例所述的如何使用二维核函数对非曼哈顿掩模图案400进行边缘校正处理的图形化说明。该过程的第一操作为分解二维核函数450。该分解过程类似于图6所讨论的过程。然而,图7所示的实施例并非将二维核函数450分解为三个分量,而是将二维核函数450分解为两个分量451以及452,其中分量451表示为h0(r),以及分量452表示为h1(r)e。可以理解的是,两个分量451以及452仅作为一个示例,并且于其他实施例中,二维核函数450可被分解成任何其它数量的分量。

图7中所示的该过程的第二操作为取得梯度以及指向图。所取得的图案400的梯度为一数值大小并表示为|grad(x,y)|。边缘像素可根据梯度加以辨识。如前所述,指向图指与每个边缘像素的法线/向量相关联的角度。换句话说,对于每个边缘像素而言,法线/向量具有对应的角度或者方位,而指向图则包含了所有边缘像素的角度/方位信息。为了简单起见,指向图于数学上表示为值得注意的是,于本发明实施例中和可互换使用,其中为的简写。

图7所示的过程的第三操作为进行边缘校正处理。作为边缘校正过程的一部分,将梯度大小|grad(x,y)|与分解分量451卷积(convolve)运算,并且将梯度大小|grad(x,y)|与相乘(换句话说,与前述参阅图6所讨论的常数C1相乘),接着与分解分量451进行卷积运算。

接着,将两个卷积运算后的结果相加,以取得边缘校正结果。边缘校正处理的结果即为非曼哈顿图案400的校正场(例如类似于图3所示的校正场220,除了于此实施例中为二维核函数而非一维核函数)。于取得校正场后,可通过将校正场应用于薄掩模场(类似于图3中的薄掩模场210)以得出图案400的近场(例如类似于图3所示的真实近场200,除了非曼哈顿图案不同外)。

图8为本发明实施例所讨论的准备二维核函数的方法600的流程图。方法600包括操作610,产生校准掩模布局图案样本。于一些实施例中,校准掩模布局图案可能有数百个。

方法600包括操作620,将掩模布局进行预处理,例如栅格化以及抗混叠滤波。

方法600包括操作630,将薄掩模模型应用于操作620中所处理的每个掩模图案。

方法600包括操作640,计算每个处理的掩模布局的精确(rigorous)近场。此为一繁重的计算过程,因此可能不适用于实际的生产环境。然而,由于方法600仅在校准环境下使用,因此事实上操作640的繁重计算为可被接受的。

方法600包括操作650,计算精确的近场(即操作640的结果)与薄掩模近场(即操作630的结果)之间的差异。操作650产生目标校正场。

方法600包括操作660,建构每个经处理的校准掩模布局图案的边缘分布以及指向图。换句话说,在此对每个校准图案重复前述参阅图5所讨论的过程。

方法600包括操作670,对相对于指向图的近场差进行回归分析以取得所需的核函数。作为回归分析的一部分,将可得到若干系数。操作670可产生一数据库以供后续重复使用以产生二维核函数。这些二维核函数也可用于不同的掩模。

图9显示如何根据前述的操作670中所讨论的回归分析产生二维核函数的简化示例。于图9中,术语”Δfield”表示图8的操作650的结果。如图9中所示,对图案400、图案400与指数项(exponential term)401的乘积以及分量451~452进行快速傅立叶转换(FFT)。结果如下:

N0(k)H0(k)+N1(k)H1(k)=ΔF(k)

其中,k为快速傅立叶转换空间(k=k1,k2,...,kV)中的二维索引。

若于操作610中产生M个不同样本,则对每个样本进行快速傅立叶转换将给出M个方程式。从操作650得知ΔF(k),接着使用以下M个线性方程式通过最小平方法(least square method)求解H0(ki)以及H1(ki):

上述过程将针对每一个单独的ki上产生包含H0以及H1的二维核函数的数据库,而此数据库将i可用于许多不同的掩模图案。

图10为显示非曼哈顿掩模图案400以及通过图案400投影于一晶圆上的一空间图像700。空间图像700可被视为图4操作370的结果的示例,即将光学模型应用于近场所产生的结果。根据图10的内容,可以看出空间图像700非常类似于原始的非曼哈顿掩模图案400,这表示本发明实施例的方法可实现足够的精确度。举例来说,由于二维核函数可具有任何任意角度/方位,因此通过本发明实施例所产生的空间图像700不具有不需要的角隅或者与其它方法相关联的其它实际不存在的特征。除此之外,在以”大O符号(Big O notation)”表示的时间复杂度中,本发明的快速旋转方法仅具有O(N2lgN)的时间复杂度,而直接坐标旋转的常规方法则具有O(N4)的时间复杂度,也因此常规方法因太慢使其无法应用于OPC或者ILT计算中。在此“N”指二维模拟区段(simulation clip)的一侧的大小。根据O(N2lgN)以及O(N4)之间的差异,可看出本发明实施例的方法可更快地旋转二维核函数,其将提供比采用常规方法的一维核函数更好的灵活性以及准确性。

图11为一掩模的建模方法800的一流程图。方法800包括操作810,接收一掩模布局,掩模布局包含非曼哈顿图案。

方法800包括操作820,处理掩模布局。于一些实施例中,操作820有关于对接收到的掩模布局进行栅格化或者抗混叠滤波。

方法800操作830,辨识非曼哈顿图案的边缘以及该边缘的指向。于一些实施例中,该边缘可通过对接收到且经处理的掩模布局取梯度加以辨识。

方法800包括操作840,检查是否产生分解过的二维核函数。如果否,则通过称为方法600的操作845产生分解的二维核函数。分解的二维核函数各自具有相应的旋转对称性。于一些实施例中,操作845有关于将每个二维核函数分解为若干分量。

方法800包括操作850,载入二维核函数并将其铺置于非曼哈顿图案的所有边缘,以取得非曼哈顿图案的一校正场。

方法800包括操作860,将薄掩模模型应用于非曼哈顿图案。薄掩模模型包含非曼哈顿图案的二元建模。

方法800包括操作870,通过将校正场叠加于非曼哈顿图案的薄掩模模型近场以取得该非曼哈顿图案的近场。

方法800包括操作880,将一光学模型应用于该近场,以取得一晶圆上的一空间图像。

方法800包括操作890,将一光阻模型应用于该空间图像,以取得晶圆上的一最终光阻图像。

必须理解的是,尽管方法800以具有非曼哈顿图案的掩模布局作为示例,但也可将方法800应用于具有曼哈顿图案的掩模布局。除此之外,可于本发明实施例的操作810~890执行前、期间或者的后执行额外的操作。举例来说,额外操作可包括制造掩模、和/或使用掩模进行半导体制造。为了简单起见,在此没未详细讨论这些额外的操作。

本发明另一实施例有关于通过完全解决边缘交互作用以提高掩模模型的准确性。图12为介于两个相邻掩模图案900以及901之间的一边缘交互作用的图形说明。图案900、901彼此靠近,使得其各自的边缘910、911彼此交互作用,这可能造成由边缘910-911所建立的真实近场产生变形。换言之,由一独立边缘(standalone edge)所建立的真实近场图案与由同一边缘但有另一边缘非常靠近的情况下所建立的真实近场图案并不相同。如图12所示,由两个紧密靠近的边缘910、911所建立的真实近场920可包括核函数930、核函数940以及一边缘交互作用950。

随着半导体装置的尺寸不断缩小,介于相邻图案之间的间距也随着缩小。尽管在具有较大的装置图案以及间距的较旧的技术世代中,边缘交互作用可能不是一个问题,但在较新的技术世代中,小的特征尺寸以及紧密间距将可能会放大边缘交互作用的影响。不幸的是,由于两个(或者多个)相邻的图案之间具有多种不同的排列可能,因此一般的方法无法充分且准确地解决边缘交互作用效应。这对于非曼哈顿图案(例如前述的图案400)更为实际,由于其不规则形状边缘可能呈现出边缘彼此交互作用的更多不同可能性,因此非曼哈顿图案可能使得边缘交互作用的计算变得更复杂。

本发明实施例有关于一种用于准确且快速地确定(并解决(account for))任何掩模布局(包括具有非曼哈顿图案的布局)的边缘交互作用的方法。准确性的提高将有助于优化工艺窗口并提高产量。以下将配合参阅图13~21对确定边缘交互作用的各种实施例提出更详细的讨论。

图13为本发明一实施例所述的的方法1000的流程图,其显示方法1000的整体流程。

方法1000包括操作1010,载入一掩模布局。如前所述,掩模布局可用于包含具有非曼哈顿几何形状的掩模图案的ILT掩模。举例来说,在此所载入的掩模布局可包括具有曲线图案边缘的掩模图案。

方法1000包括操作1020,对于操作1010中所载入的掩模布局进行预处理。于一些实施例中,预处理可包括参考图4中操作320所述的例如光栅化和/或者抗混叠滤波的操作。

方法1000包括操作1030,将无交互作用的掩模模型应用于操作1020中所处理的掩模布局。无交互作用的掩模模型可指尚未解决(或未考虑)边缘交互作用的掩模模型。于一些实施例中,通过使用参考第3~11图所述的二维核函数所取得的无交互作用的掩模模型。

方法1000包括操作1040,将本发明实施例中所述的一边缘交互作用的模型应用于操作1020中所处理的掩模布局。以下将对步骤1040作更详细地讨论。

方法1000包括操作1050,将一薄掩模模型应用于操作1020中所处理的掩模布局。于某些实施例中,操作1030通过使用参考第3~11图所述的二维核函数已取得无交互作用的掩模模型,故在此由于操作1050已作为操作1030的一部分来执行,因此其可被省略。

方法1000包括操作1060,结合操作1030、1040以及1050的结果以取得用于掩模布局的一近场。

方法1000包括操作1070,将光学模型应用于近场(在操作1060中所取得)以取得晶圆上的掩模布局的空间图像。操作1070也可被视为执行一曝光模拟。

方法1000包括操作1080,将光阻模型应用于空间图像,以取得晶圆上的掩模布局的一最终光阻图像。操作1080也可被视为进行一光阻模拟。

请参阅图14,图14为显示执行图13中操作1040的方法1100的流程图。方法1100包括操作1110,构建经处理的掩模布局的边缘与指向图。同样地,操作1110可应用与前述图3~11所述的二维核函数相同的方法,如此将可准确地建构具有任意角度的非曼哈顿图案。

方法1100包括操作1120,将整个模拟区域(simulation domain)(例如需要确定边缘交互作用效应的掩模布局)划分为适度大小的小次区(tile)。举例来说,次区的一侧可具有位于20nm至100nm的范围内的大小(或尺寸)。划分为小次区的原因为由于边缘交互作用将于短距离上发挥作用,因此其可于一小的次区中被解决。因此,不需要较大的次区来解决边缘交互作用。值得注意的是,若边缘跨过两个次区(即每个次区包含边缘的片段),则于一些实施例中该边缘可被计算两次。

方法1100包括操作1130,对操作1120中所产生的每个小次区应用双边缘(two-edge)(2E)交互作用模型以取得双边缘校正结果。换言之,在每个小的次区中,可辨识各种可能的对边(pairs of edges),并计算每个可能的边对之间的边缘交互作用。

方法1100包括操作1140,结合每个小的次区的双边缘交互作用结果,以确定整个图案的所有(total)双边缘交互作用的总校正结果。

方法1100包括操作1150,对于操作1120中所产生的每个小的次区应用三边缘(3E)交互作用模型,以取得三边缘校正结果。换言之,操作1150类似于操作1130,不同之处在于其以三边缘的各种组合进行而非双边缘的组合进行。

方法1100包括操作1160,结合每个小的次区的三边缘交互作用结果,以确定整个图案的所有三边缘交互作用校正结果。换言之,操作1160类似于操作1140,不同之处在于其以三边缘的各种组合进行而非双边缘的组合进行。

方法1100包括操作1170,结合操作1140的所有双边缘交互作用校正结果与操作1160的所有三边缘交互作用校正结果,以确定总边缘交互作用校正结果。必须理解的是,于四边缘交互作用、五边交互作用等的情况下,可重复与操作1130~1140类似的操作。而实际上,双边缘以及三边缘的交互作用可能足以以足够的准确性来确定边缘交互作用校正。

配合参阅图15,以下将更详细地讨论操作1130-1140,图15为如何定义双边缘(2E)核函数的附图说明。图15显示一个次区1200,其为通过划分模拟区域所取得的一个小的次区的示例。换言之,次区1200表示需要被建模以解决前述的边缘交互作用效应的掩模布局的一示例部分。次区1200以像素网格的形式来表示,其中每个像素具有对应于X轴的尺寸Δx以及对应于Y轴的尺寸Δy。于一些实施例中,每个Δx以及Δy的值介于1nm至32nm之间。

次区1200包括非曼哈顿图案1210以及非曼哈顿图案1211。类似于前述的非曼哈顿图案400,非曼哈顿图案1210、1211的每一者包括曲线边缘。当然,在此所显示的图形1210、1211仅为示例,且于其它实施例中,次区中可包括例如曼哈顿图案的其它图案。为了确定操作1040中的边缘交互作用的模型,图案1210、1211以像素而非几何形状的方式表示。举例来说,对于例如像素r的任何已知像素(不一定为边缘像素),其受到来自任何两个边缘像素(例如边缘像素r1以及r2)的边缘交互作用的影响,其中每个边缘像素皆位于图案1210、1211的一者的边缘。边缘像素r1以及r2也可被称为交互作用边缘像素(interacting edge pixel),且像素r也可被称为受影响的像素。当然,像素r可经历来自两个边缘像素(不仅r1以及r2)的任何其它可能组合的边缘交互作用影响,且边缘像素r1与r2也可能影响其它像素(不仅为像素r)。于一些实施例中,边缘像素r1以及r2位于预设范围或者距离内,例如于N nm的范围内,其中N可为约1nm至约100nm的范围内。如前述的图5中所讨论的,边缘像素可通过梯度来确定。边缘像素r1以及r2也分别具有方位角以及其可如上所述地通过于像素中的边缘区段取法线或者法向量来取得。边缘像素r1以及r2的法线于图15中显示为具有指向远离边缘的虚线箭头。

根据由一对边缘像素r1以及r2所施加至像素r的影响来定义双边缘交互作用核函数G2。双边缘交互作用核函数G2描述因介于两个边缘像素r1以及r2之间的交互作用所引起的像素r的所在位置上的近场校正。双边缘交互作用核函数G2可表示为G2(r,r1,r2,),换言之,双边缘交互作用核函数G2为r、r1、r2、的函数。可以理解的是,r、r1、r2表示本发明实施例的二维位置向量。举例来说,r、r1以及r2可各自表示于极坐标系中,并可包括一径向坐标以及角坐标。半径以及角坐标系可根据其相应像素相对于模拟次区的原点的位置来确定。

因此,对于任何已知的受影响像素(例如像素r)而言,可为任何可能的一对交互作用边缘(例如位于边缘像素r1以及r2中的边缘)确定一相应的双边缘核函数。最后,求得所有双边缘核函数的积分或总和,以取得位于受影响的像素r的位置上的真实近场校正。也可对于次区1200中的每个像素重复上述过程,如此将产生双边缘校正场(根据双边缘的交互作用)以作为前述图14的操作1140的结果。

请参阅图16,接下来对操作1150-1160作更详细地讨论,图16为如何确定三边缘核函数的附图说明。图16以及图15具有许多相似的处。举例来说,两者皆于显示包括非曼哈顿图案1210以及1211的次区1200。然而,图16显示像素r如何受到三个边缘像素r1、r2以及r3的组合的影响。不同的是,图16中确定边缘交互作用的过程与前述图15的过程类似。因此,三边缘核函数G3可作为r、r1、r2、r3、的函数。同样地,r、r1、r2以及r3为二维位置向量,举例来说,其可表示于极坐标系中,且根据各自像素相对于模拟次区的原点,r、r1、r2以及r3的每一者皆具有各自的径向坐标以及角坐标。通过取得各像素中的边缘的法线来确定方位角以及如同图15中双边缘交互作用核函数G2的情况,图16中的三边缘核函数G3可相对于三个边缘的所有可能组合进行积分或者加总,并对每个受影响的像素进行前述的动作。如此将可产生操作1160的三边界校正模型。

次区1200的边缘校正场可通过组合双边缘校正模型与三边缘校正模型的结果来产生。同样地,理论上可对四边缘校正模型、五边缘校正模型等重复上述过程,但其效果可能已接近报酬递减点(a point of diminishing returns),因为双边缘校正模型以及三边缘校正模型可能足以准确地逼近整个边缘校正模型。

图17显示用于加速上述核函数计算的两种技术。第一种技术为将模拟区域划分为更小的次区,以执行核函数计算。图17中显示一模拟区域1250。模拟区域1250可包括需要确定边缘交互作用的一个或者多个掩模图案(其可为非曼哈顿图案)。所示实施例中的模拟区域1250类似于矩形,并包括第一尺寸N1以及第二尺寸N2。于一些实施例中,N1以及N2分别位于4微米至16微米的范围内。

若模拟区域1250上执行前述的双边缘核函数或者三边核函数的计算,其可能因为模拟区域的尺寸较大而花费过长的时间。因此,如第15、16图所述,为了加速计算,模拟区域1250被划分成多较小的次区。在此一些次区被示为次区1260~1267。因为已知次区外的边缘可能太远而不会对该次区内的像素产生影响,所以次区内的限制计算不应影响整个边缘交互作用场的最终结果。

次区1260~1267的大小为M1×M2。于一些实施例中,M1以及M2的值分别介于20nm~100nm之间的范围内。然而,在计算边缘核函数期间,每个次区还包括交互作用1300的边限。在不增加交互作用的边限的情况下,原先假设(implicit assumption)次区具有周期性的边界条件,但此一假设为错误的。因此,在不考虑交互作用边限1300的情况下执行核函数计算将产生不正确的结果。因此,在本发明实施例中每个次区皆被交互作用1300的一对应边限所环绕(surround)。前述图15-16的核函数计算于交互作用1300的边限(包括其包围的次区)内执行,以确保结果为准确的。此后,仅保留次区本身所造成的核函数计算结果,而不考虑因交互作用边限(位于次区本身之外)所造成的核函数计算结果。如图17所示,交互作用1300的边界于每侧皆具有长度L。于一些实施例中,L的值介于20nm~100nm之间的范围内。于一些实施例中,为了最佳化计算速度,M1=M2=L。

第二种用于加速计算的技术有关于利用平移以及旋转对称性来分解边缘交互作用核函数G2、G3等。举例来说,可根据以下算式分解双边缘交互作用核函数G2

其中l1以及l2表示因双边缘交互作用核函数G2的周期性(例如双边缘交互作用核函数G2相对于以及为周期性的)执行傅立叶级数展开后所取得的指数。技术上,l1以及l2各自从-∞改变至+∞。实际上,只需要几个l1以及l2(例如0、1、2或者-1、0以及1)即可取得足够准确的双边缘交互作用核函数G2近似值。值得注意的是,由于平移对称性,仅r与r1之间的相对位置(以及r与r2之间的相对位置)(与已知的r、r1以及r2的绝对位置相反)可用于分解双边缘交互作用核函数G2,这有助于简化计算。同样地,在此双边缘交互作用核函数G2描述由于两个边缘像素r1以及r2之间的边缘交互作用所导致的任何已知的受影响的像素r的校正场。三边缘核函数G3可通过类似的方式取得。

图18为根据本发明一实施例所述的如何使用边缘核函数执行边缘校正处理的附图说明。在此本发明实施例使用双边缘交互作用核函数G2作为一示例。然而,以下的讨论也适用于三边缘核函数G3

该过程的第一操作为计算图案的边缘与指向图。该图案可为非曼哈顿图案,如前述的图案400(或者前述的图案1210、1211)。通过取得图案400的梯度来计算图案的边缘(例如边缘像素),其中梯度可包括幅度(magnitude)并表示为|grad(x,y)|。如前所述,指向图指与每个边缘像素的法线/向量相关联的角度。换言之,对于每个边缘像素,法线/向量具有对应的角度或者方位,且所有边缘像素的角度/方位可被认为是指向图。为了简单说明,指向图于数学上表示为

该过程的第二操作为计算感兴趣的l的掩模旋转分量Q1。此在数学上表示为:其中Ql(x,y)表示掩模旋转分量。同样地,尽管l可从负无穷大变化正无穷大,但本发明的实际计算可仅仅选择一些感兴趣的l(例如1,2,3)来简化计算。

图18中所示的该过程的第三操作为计算双边缘校正。用于此计算的公式如下:

其中Δm2E(r)表示双边缘校正,其中r、r1以及r2为二维空间位置向量,

且l1以及l2于所有感兴趣的l上循环(loop over)。换言之,Δm2E(r)描述用于因两个边缘像素的所有可能组合(由于Δm2E(r)中的积分))所造成的任何已知的受影响的像素r的校正场。因为校正场Δm2E(r)为影响像素r的函数,故当r改变时,所计算的校正场Δm2E(r)也随之改变。当计算所有可能的像素r的Δm2E(r)时,可通过结合与所有个别受影响的像素r相关联的校正场的结果来确定总边缘校正场。

图19为显示取得本发明实施例所讨论的二维核函数的方法1500的流程图。方法1500包括操作1510,产生多个校准掩模布局图案样本。于一些实施例中,可能存在数百个校准掩模布局图案。

方法1500包括操作1520,对掩模布局进行预处理,例如光栅化以及抗混叠滤波。

方法1500包括操作1530,将薄掩模模型应用于每个预处理后的掩模图案。

方法1500包括操作1540,其中将边缘互动模型应用于每个经处理的掩模布局。该操作计算边缘校正而不考虑边缘交互作用。

方法1500包括操作1550,计算每个经处理的掩模布局严格的(rigorous)近场。这可能是一个计算密集型的过程,因此可能不适用于实际的生产环境。然而,由于方法1500针对校准环境,但实际上计算密集的操作1550可能是无关紧要的。

方法1500包括操作1560,计算差值。前述的差值表示操作1550的结果(即严格的近场计算)以及操作1530与1540的结果(即薄掩模模型以及无交互作用的掩模模型)之间的差值。换言之,操作1530以及1540的结果各自从操作1550的结果中减去,并产生操作1560的结果。操作1560的结果为边缘交互作用所留下的”余数(residue)”。

方法1500包括操作1570,构建每个经处理的校准掩模布局图案的边缘分布与指向图。

方法1500包括操作1580,相对于地图的近场差进行回归分析以取得该等核函数。作为回归分析的一部分,可解出多系数。操作1580可产生之后可再次使用的数据库(library)以产生前述的二维核函数。该些二维核函数也可用于不同的掩模。

图20显示执行图19所讨论的操作1580(例如回归分析)中相关的一些计算细节。首先,前述操作1560所取得的计算结果以使用下列算式表示:

其中Ql1(r1)以及Ql2(r2)为自上述操作1570的计算结果所取得的掩模旋转分量。

对上述算式进行快速傅里叶变换(FFT)以取得以下算式:

对于小的交互作用次区,二维频率空间上k与k1的网格点的总数应为适度的(moderate)。举例来说,具有网格大小为5nm的大小为50nm×50nm

的二维模拟区域上,不同空间频率的数量为100。必须理解的是,若于前述操作1510中采用M个布局样本,则对于每个k将具有M个算式。对于每个图案,可利用操作1570中所计算的边缘以及方位图来计算Q1,因此有一些线性方程式组可解出未知的二维核函数G2。若M的值够大,线性回归方法可对每个l1以及l2求解每个(k1,k-k1)的G2

图21显示根据本发明一实施例所述的对掩模进行建模的方法1800。方法1800包括操作1810,接收一掩模布局。掩模布局包括一个或者多个非曼哈顿图案。

方法1800包括操作1820,处理所接收的掩模布局。于一些实施例中,操作1820可包括于掩模布局上执行光栅化或者抗混叠滤波。

方法1800包括操作1830,将无交互作用的掩模模型应用于经处理的掩模布局。于一些实施例中,可通过执行前述图3~11所讨论的使用二维核函数的方法来取得无交互作用的掩模模型。

方法1800包括操作1840,检查是否产生边缘交互作用核函数。若否,则通过呼叫方法1500的操作1845产生多个边缘交互作用核函数。操作1845包括计算多核函数,其中每个核函数描述位于受影响的像素((受到两或多个边缘像素(位于一个或者多个非曼哈顿图案的一边缘)间交互作用的影响))的位置上的校正场。于一些实施例中,计算多核函数的操作包括计算每个核函数以作为受影响的像素的位置、两或多个边缘像素中每一个的相应位置、以及与每两个或者更多边缘像素相关的相应方位角的函数。

方法1800包括操作1850,将边缘交互作用的模型应用于经处理的掩模布局。于一些实施例中,应用边缘交互作用的模型的操作包括:将掩模布局划分成多次区,每个次区被交互作用长度的边限所包围;计算每个次区的边缘交互作用校正场;以及通过组合来自每个次区的边缘交互作用校正场来确定用于掩模布局的总边缘交互作用校正场。

方法1800包括操作1860,将薄掩模模型应用于掩模处理布局。

方法1800包括操作1870,根据应用无交互作用的掩模模型的操作、应用边缘交互作用的模型的操作、以及应用薄掩模模型的操作来确定掩模布局的近场。

方法1800包括操作1880,将光学模型应用于近场以取得晶圆上掩模布局的空间图像。

方法1800包括操作1890,将光阻模型应用于空间图像以取得晶圆上的最终光阻图像。

必须理解的是,尽管方法1800以具有非曼哈顿图案的掩模布局作为示例,但方法1800也可应用于具有曼哈顿图案的掩模布局。此外,可于本发明实施例的操作1810~1890执行前、期间或者之后执行额外的操作。举例来说,额外操作可包括制造掩模、和/或者使用掩模进行半导体制造。为了简单说明,在此并未详细地讨论这些额外的操作。

本发明一实施例有关于一种掩模建模方法,步骤包括:接收一掩模布局;将一无交互作用的掩模模型应用于掩模布局;将一边缘交互作用的模型应用于掩模布局,边缘交互作用的模型描述因两或多个彼此交互作用的边缘的多组合所引起的影响;将一薄掩模模型应用于掩模布局;以及根据无交互作用的掩模模型的应用、边缘交互作用的模型的应用以及薄掩模模型的应用来决定一近场。

根据本发明另一实施例,掩模建模方法还包括:通过计算两或多个彼此交互作用的边缘的组合施加至掩模布局的多像素的影响来应用边缘交互作用的模型。

根据本发明另一实施例,其中应用边缘交互作用的模型的步骤包括:将掩模布局划分成多次区;计算每个次区的一边缘交互作用校正;以及通过组合每个次区的边缘交互作用校正,以确定整个掩模布局的一总边缘交互作用校正。

根据本发明另一实施例,其中计算边缘交互作用校正的步骤包括计算多核函数;以及每个核函数描述位于一受影响的像素上的一校正场,受影响的像素因位于掩模布局的一图案的一边缘上的二或多个边缘像素之间的一交互作用所造成。

根据本发明另一实施例,其中计算核函数的步骤包括:计算每个核函数以作为受影响的像素的一位置、二或多个边缘像素中的每一者的一相应位置、以及与二或多个边缘像素的每一者相关联的一相应方位角的函数。

根据本发明另一实施例,将掩模布局划分成次区的步骤包括:将一交互作用长度的一边限增加至每个所划分的次区。

根据本发明另一实施例,掩模布局包含一个或者多个非曼哈顿图案。

根据本发明另一实施例,两或多个彼此交互作用的边缘位于一预设相邻范围(a predefined proximity to one another)内。

根据本发明另一实施例,掩模建模方法还包括:处理掩模布局,其中对被处理后的掩模布局执行应用无交互作用的掩模模型的步骤、应用边缘交互作用的模型的步骤以及应用薄掩模模型的步骤。

根据本发明另一实施例,处理掩模布局的步骤包括对掩模布局执行光栅化或抗混叠滤波。

根据本发明另一实施例,薄掩模模型包含掩模布局上的多图案的二进制建模。

根据本发明另一实施例,掩模建模方法还包括:将一光学模型应用于近场,以于一晶圆上取得空间图像;以及将一光阻模型应用于空间图像,以取得晶圆上的一最终光阻图像。

本发明一实施例有关于一种掩模建模方法,步骤包括:接收一掩模布局,掩模布局包括一或多个非曼哈顿图案;将一无交互作用的掩模模型应用于接收到的掩模布局;利用一组预先选择的掩模布局样本,产生一边缘交互作用的模型,其中产生边缘交互作用的模型的步骤包括通过一或多个非曼哈顿图案的二或者多个边缘区段的多组合来确定施加至掩模布局样本的多像素的影响;将边缘交互作用的模型应用于接收到的掩模布局;将一薄掩模模型应用于接收到的掩模布局;以及根据无交互作用的掩模模型的应用步骤、边缘交互作用的模型的应用步骤以及薄掩模模型的应用步骤,确定接收到的掩模布局的一近场。

根据本发明另一实施例,产生边缘交互作用的模型的步骤包括:将掩模布局样本划分成多次区;通过计算多核函数来计算每个次区的一边缘交互场,其中每个核函数描述位于一受影响的像素上的一校正场,受影响的像素因位于一或多非曼哈顿图案的一边缘、两或者多边缘像素之间的一交互作用所造成;以及确定一次区的边缘交互作用核函数。

根据本发明另一实施例,计算核函数的步骤包括:计算每个核函数以作为受影响的像素的一位置、两边缘或多个边缘像素中的每一者的一相应位置、以及与两或多个边缘像素的每一者相关联的一相应方位角的函数。

根据本发明另一实施例,将掩模布局划分成次区的步骤包括:将交互作用长度的一边限增加至每个所划分的次区。

根据本发明另一实施例,掩模建模方法还包括:通过对接收到的掩模布局执行光栅化或抗混叠滤波来处理接收到的掩模布局,其中对接收到的掩模布局执行无交互作用的掩模模型的应用步骤、边缘交互作用的模型的应用步骤以及薄掩模模型的应用步骤;将一光学模型应用于近场,以取得一晶圆上的接收到的掩模布局的一空间图像;以及将一光阻模型应用于空间图像,以取得晶圆上的一最终光阻图像。

本发明一实施例有关于一种掩模建模方法,包括:接收一掩模布局,掩模布局包括一或多个非曼哈顿图案;处理接收到的掩模布局;将一无交互作用的掩模模型应用于接收到且经处理的掩模布局;利用一组预先选择的掩模布局样本,产生一边缘交互作用的模型,其中产生边缘交互作用的模型的步骤包括计算多核函数,每个核函数描述位于一受影响的像素上的一校正场,受影响的像素因位于一或多个非曼哈顿图案的一边缘上的二或者多个边缘像素之间的一交互作用所造成;将边缘交互作用的模型应用于接收到且经处理的掩模布局;将一薄掩模模型应用于接收到且经处理的掩模布局;根据无交互掩模模型的应用步骤、边缘交互作用的模型的应用步骤以及薄掩模模型的应用步骤,确定接收到的掩模布局的一近场;将一光学模型应用于近场,以取得一晶圆上的接收到的掩模布局的一空间图像;以及将一光阻模型应用于空间图像,以取得晶圆上的一最终光阻图像。

根据本发明另一实施例,产生边缘交互作用的模型的步骤包括:将掩模布局样本划分成多次区,每个次区各自被一交互作用长度的一边限所包围;确定次区的边缘交互作用的模型。

根据本发明另一实施例,计算核函数的步骤包括:计算每个核函数以作为受影响的像素的一位置、两或多个边缘像素中的每一者的一相应位置、以及与二或多个边缘像素的每一者相关联的一相应方位角的函数。

前述的实施例或者示例已概述本发明的特征,本领域技术人员可更佳地理解本发明的一个实施例。本领域技术人员应当理解,他们可轻易地使用本发明作为用于设计或者修改其它过程以及结构以实施相同的目的和/或者实现本发明所介绍的实施例或者示例的相同优点。本领域技术人员可理解的是,上述等效构造并未脱离本发明的精神和范围,并且可于不脱离本发明的精神和范围进行各种改变、替换和更改。

当前第1页1 2 3 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1