用参数化模型预测过程信息的方法和系统与流程

文档序号:30822387发布日期:2022-07-20 02:20阅读:142来源:国知局
用参数化模型预测过程信息的方法和系统与流程
用参数化模型预测过程信息的方法和系统
1.相关申请的交叉引用
2.本技术要求于2019年11月29日递交的欧洲申请19212419.6和2020年1月10日递交的欧洲申请20151169.8的优先权,这些欧洲申请的全部内容通过引用并入本文中。
技术领域
3.本说明书涉及用参数化模型预测过程信息的方法和系统。


背景技术:

4.光刻设备是被构造成将期望的图案施加至衬底上的机器。光刻设备可以用于例如集成电路(ic)的制造。光刻设备可以例如将图案形成装置(例如掩模)处的图案(通常也称为“设计布局”或“设计”)投影至设置于衬底(例如晶片)上的辐射敏感材料(抗蚀剂)层上。
5.为了将图案投影于衬底上,光刻设备可以使用电磁辐射。这种辐射的波长决定可以形成在衬底上的特征的最小尺寸。当前使用的典型波长是365nm(i线)、248nm、193nm和13.5nm。相比于使用例如具有193nm的波长的辐射的光刻设备,使用具有在4nm至20nm范围内的波长(例如6.7nm或13.5nm)的极紫外(euv)辐射的光刻设备可以用于在衬底上形成更小特征。
6.低k1光刻可以用于处理尺寸小于光刻设备的典型分辨率极限的特征。在这样的过程中,可以将分辨率公式表达为cd=k1×
λ/na,其中λ为所采用的辐射的波长,na是光刻设备中的投影光学器件的数值孔径,cd是“临界尺寸”(通常是所印制的最小特征尺寸,但在这种情况下是半节距)且k1是经验分辨率因子。通常,k1越小,则越难以在衬底上再现类似于由电路设计者规划的形状和尺寸以便实现特定电功能性和性能的图案。
7.为了克服这些困难,可以将复杂的微调步骤应用于光刻投影设备和/或设计布局。这些步骤包括(例如)但不限于na的优化、定制照射方案、使用相移图案形成装置、诸如设计布局中的光学邻近效应校正(opc,有时也称为“光学和过程校正”)的设计布局的各种优化,或通常定义为“分辨率增强技术”(ret)的其它方法。替代地,用于控制光刻设备的稳定性的严格控制回路可以用于改善在低k1下的图案的再现。


技术实现要素:

8.可以使用各种量测操作来测量设计的特征。这些计算可以包括例如测量重叠。可以基于对与设计相关联的复电场图像的计算密集型确定来确定重叠。有利地,本方法和系统被配置用于复电场图像的(较不计算密集型)预测、一个或更多个量测指标的确定、和/或使用参数化模型的其它计算。
9.根据实施例,提供一种用参数化模型预测电场图像的方法。所述方法包括:基于所述参数化模型的潜在空间(latent space)中的维度数据来确定至所述参数化模型的给定输入的电场图像的潜在空间表示;和基于所述电场图像的所述潜在空间表示来预测所述电场图像。
10.在一些实施例中,所述电场图像包括具有振幅和相位的复电场图像。
11.在一些实施例中,所述给定输入包括与所述复电场图像相关联的测量的振幅。
12.在一些实施例中,所述振幅包括强度。
13.在一些实施例中,确定所述电场图像的所述潜在空间表示包括最小化受电场图像的集合约束的函数,所述电场图像的集合可以由所述参数化模型基于所述潜在空间中的所述维度数据和所述给定输入来预测。
14.在一些实施例中,所述电场图像的所述潜在空间表示包括张量。
15.在一些实施例中,所述参数化模型为机器学习模型。
16.在一些实施例中,所述参数化模型包括编码器-解码器架构。
17.在一些实施例中,所述编码器-解码器架构包括可变编码器-解码器架构。所述方法还包括用概率性潜在空间训练所述可变编码器-解码器架构,所述可变编码器-解码器架构在输出空间中产生实现(realization)。
18.在一些实施例中,所述潜在空间包括低维编码。
19.在一些实施例中,所述潜在空间中的所述维度数据由所述编码器-解码器架构的编码器编码。
20.在一些实施例中,所述方法还包括用复电场图像训练集合训练所述参数化模型。
21.在一些实施例中,所述复电场图像集合在离焦测量期间产生。
22.在一些实施例中,所述训练包括:将所述训练集合中的所述复电场图像编码成所述潜在空间中的所述维度数据,以及将所述潜在空间中的所述维度数据变换成所述训练集合中的所述复电场图像的复原后的版本,以便于对所述训练的校验。
23.在一些实施例中,所述方法还包括迭代地提供额外的复电场图像作为所述参数化模型的输入。所述额外的复电场图像是基于所述复电场图像的所述复原后的版本与所述训练集合中的所述复电场图像匹配的程度来被确定的。
24.在一些实施例中,所述方法还包括用所述编码器将与所述电场图像相关联的较高维度数据编码成所述潜在空间中的所述维度数据。
25.在一些实施例中,基于所述电场图像的所述潜在空间表示来预测所述电场图像包括将所述电场图像的所述潜在空间表示传递通过所述编码器-解码器架构的解码器。
26.在一些实施例中,所述方法还包括基于所述电场图像的所述潜在空间表示来确定量测指标。
27.在一些实施例中,基于所述电场图像的所述潜在空间表示来确定所述量测指标包括将所述电场图像的所述潜在空间表示提供给回归网络,所述回归网络被包括在所述参数化模型中或与所述参数化模型分立。
28.在一些实施例中,所述量测指标为重叠。
29.在一些实施例中,所述方法还包括基于所述电场图像的所述潜在空间表示和/或所预测的电场图像来校正与量测设备相关联的像差。
30.在一些实施例中,所述方法还包括基于所述所预测的电场图像来确定对半导体制造过程参数的调整,所述半导体制造过程参数用于将衬底几何形状图案化,将衬底几何形状图案化是半导体制造过程的一部分。
31.根据另一实施例,提供一种在其上具有指令的非暂时性计算机可读介质,所述指
令在由计算机执行时实施本文中描述的方法中的任一种。
32.根据另一实施例,提供一种被配置成确定半导体制造过程的一个或更多个量测指标的量测设备。所述设备包括一个或更多个处理器,所述一个或更多个处理器被配置成:基于参数化模型的潜在空间中的维度数据来确定给定输入的电场图像的潜在空间表示;用所述参数化模型基于所述电场图像的所述潜在空间表示来预测所述电场图像;以及基于所预测的电场图像来确定所述半导体制造过程的所述一个或更多个量测指标。
33.根据另一实施例,提供包括量测设备的光刻单元。所述量测设备被配置成:基于参数化模型的潜在空间中的维度数据来确定给定输入的电场图像的潜在空间表示;用所述参数化模型基于所述电场图像的所述潜在空间表示来预测所述电场图像;以及基于所预测的电场图像来确定所述半导体制造过程的一个或更多个量测指标。
34.根据另一实施例,提供一种用于确定用于半导体制造过程的一个或更多个量测指标的方法。所述方法包括:基于参数化模型的潜在空间中的维度数据来确定给定输入的电场图像的潜在空间表示;用所述参数化模型基于所述电场图像的所述潜在空间表示来预测所述电场图像;以及基于所预测的电场图像来确定用于所述半导体制造过程的所述一个或更多个量测指标。
35.在一些实施例中,所述电场图像包括具有振幅和相位的复电场图像。
36.在一些实施例中,所述一个或更多个所确定的量测指标包括以下中的一个或更多个:重叠、临界尺寸、衬底的特征的三维轮廓的重构、或在用光刻设备印制衬底的特征时光刻设备的剂量或焦距。
37.在一些实施例中,所述给定输入包括与所述复电场图像相关联的被测量的振幅。
38.在一些实施例中,所述振幅包括强度。
39.在一些实施例中,所述方法包括基于所确定的一个或更多个量测指标来调整一个或更多个半导体制造过程参数。
40.根据另一实施例,提供一种用于用参数化模型预测过程信息的方法,包括:在所述参数化模型的潜在空间中确定至所述参数化模型的给定输入的潜在空间表示;基于所述给定输入的参考潜在空间表示将所述给定输入的所述潜在空间表示变换成所述给定输入的经变换的潜在空间表示;以及基于所述给定输入的所述经变换的潜在空间表示来预测所述过程信息。
41.在一些实施例中,所述给定输入与目标相关联,并且是从被配置成产生所述给定输入的多个目标表征设备中的一个被接收到的。应注意,“目标”被宽泛地使用且可以是指以一种方式或另一方式成像、测量或以其它方式表征的器件和/或其它实体对象的任何衬底、层或其它部分中的任何特征和/或结构。这可以包括例如量测目标和/或其它测量结构。目标可以位于例如晶片上的产品区域内部或外部。
42.在一些实施例中,所述变换和预测被配置成使得目标的被预测的过程信息是相同的,与目标表征设备中的哪一个产生了所述给定输入无关。
43.在一些实施例中,所述变换包括对给定输入的潜在空间表示进行的一种或更多种数学计算。
44.在一些实施例中,在所述潜在空间中进行所述变换。
45.在一些实施例中,参考潜在空间表示包括至所述参数化模型的先前所接收的输入
的潜在空间表示的加权组合和/或平均值,或来自被配置成产生所述给定输入的特定目标表征设备的输入的潜在空间表示。
46.在一些实施例中,过程信息和给定输入与半导体制造过程相关联。
47.在一些实施例中,所预测的过程信息包括预测图像或所预测的过程测量结果中的一个或更多个。应注意,“图像”被宽泛地使用且可以是指在制造过程期间产生(例如测量、预测、获取等)的任何图像。这可以例如在半导体器件的情境下包括场平面获取结果、光瞳平面获取结果和/或其它图像。
48.在一些实施例中,所预测的过程测量包括以下中的一个或更多个:量测指标、xyz位置、尺寸、电场、波长、照射和/或检测光瞳、带宽、照射和/或检测偏振角、或照射和/或检测相位延迟角。
49.在一些实施例中,所述给定输入包括输入图像或输入过程测量结果中的一个或更多个。
50.根据另一实施例,提供一种用于用参数化模型预测过程信息的方法,包括:在所述参数化模型的潜在空间中基于维度数据确定与至所述参数化模型的给定输入相关联的最优的过程参数集合的潜在空间表示;和基于最优的过程条件集合的所述潜在空间表示来预测所述过程信息。
51.在一些实施例中,所预测的过程信息包括目标的设计参数或量测测量选配方案参数中的一个或更多个。
52.在一些实施例中,设计参数包括与目标相关联的临界尺寸、节距、分段节距、线几何形状、接触几何形状或孔几何形状中的一个或更多个。
53.在一些实施例中,量测测量选配方案参数包括以下中的一个或更多个:用于测量目标的波长、带宽、孔、照射和/或检测光瞳、带宽、照射和/或检测偏振角、照射和/或检测相位延迟角、或剂量。
54.在一些实施例中,最优的过程参数集合限定用于测量目标的量测指标的最优测量条件。
55.在一些实施例中,最优的过程参数集合包括强度、对比度、边缘响应、衍射效率或重叠灵敏度中的一个或更多个。
56.在一些实施例中,给定输入包括目标的被限定的设计参数或被限定的量测测量选配方案参数中的一个或更多个。
57.在一些实施例中,所述参数化模型为机器学习模型。
58.在一些实施例中,所述参数化模型包括编码器-解码器架构。
59.在一些实施例中,所述潜在空间包括低维编码。
60.根据另一实施例,提供一种用于用参数化模型预测过程信息的方法,包括:在所述参数化模型的潜在空间中确定至所述参数化模型的给定输入的潜在空间表示;基于所述给定输入的参考潜在空间表示将所述给定输入的所述潜在空间表示变换成所述给定输入的经变换的潜在空间表示;基于所述经变换的潜在空间表示来确定与所述给定输入相关联的最优的过程参数集合的潜在空间表示;以及基于所述给定输入的所述经变换的潜在空间表示和与所述给定输入相关联的所述最优的过程参数集合的所述潜在空间表示来预测所述过程信息。
61.根据另一实施例,提供一种用于用参数化模型预测过程信息的方法,包括:在所述参数化模型的潜在空间中确定至所述参数化模型的给定输入的潜在空间表示;基于所述给定输入的参考潜在空间表示将所述给定输入的所述潜在空间表示变换成所述给定输入的经变换的潜在空间表示;基于所述给定输入的所述经变换的潜在空间表示来确定所述给定输入的电场图像的潜在空间表示;基于所述经变换的潜在空间表示来确定与所述给定输入相关联的最优的过程参数集合的潜在空间表示;以及基于所述给定输入的所述经变换的潜在空间表示、所述电场图像的所述潜在空间表示和与所述给定输入相关联的所述最优的过程参数集合的所述潜在空间表示来预测所述过程信息。
62.根据另一实施例,提供一种在其上具有指令的非暂时性计算机可读介质,所述指令在由计算机执行时实施上文所述的实施例中的任一实施例的方法。
附图说明
63.并入本说明书中且构成本说明书的一部分的随附附图说明一个或更多个实施例且与描述一起解释这些实施例。现将参考随附示意性附图而仅借助于示例来描述本发明的实施例,在所述附图中,对应的附图标记指示对应的部分或部件,并且在所述附图中:
64.图1描绘根据实施例的光刻设备的示意图综述。
65.图2描绘根据实施例的光刻单元的示意图综述。
66.图3描绘根据实施例的整体光刻的示意性表示,其表示用以优化半导体制造的三种技术之间的协作。
67.图4图示根据实施例的诸如散射仪的示例量测设备。
68.图5图示根据实施例的编码器-解码器架构。
69.图6图示根据实施例的、在神经网络内的编码器-解码器架构。
70.图7图示根据实施例的、与获得复电场图像相关联的示例相机。
71.图8图示根据实施例的、用参数化模型预测电场图像的本方法的操作的概述。
72.图9图示根据实施例的参数化模型的示例。
73.图10是根据实施例的示例计算机系统的框图。
74.图11是根据实施例的、图1的光刻设备的替代性设计。
具体实施方式
75.过程信息(例如图像、测量结果、过程参数、量测指标等)可以用于引导各种制造操作。用如本文中所描述的参数化模型预测或以其它方式确定过程信息可以相较于确定过程信息的先前方法更快、更高效、需要更少计算资源和/或具有其它优势。
76.例如,相位恢复可以用于确定复电场图像。复电场图像可以与目标相关联。例如,目标可以是半导体器件的一部分(例如在衬底中被图案化的图案和/或特征的一部分)和/或其它目标。如上文所描述的,应注意,“目标”被广泛地使用且是指以一种方式或另一方式成像、测量或以其它方式表征的器件和/或其它实体对象的任何衬底、层或其它部分中的任何特征和/或结构。复电场图像又可以用于确定例如诸如重叠之类的一个或更多个量测指标,和/或目标的其它信息。
77.相位恢复包括根据(例如针对特定目标的)对应的振幅(例如强度)测量结果来复
原复(值)电场。由于与复电场所满足的等式相关联的大量未知数(例如数量级为106)、非线性和非凸性,因此相位恢复是困难的。相位恢复的现有方法包括在振幅测量中引入冗余或利用关于复电场图像的(例如用于减少未知数的数目的)先前知识。这些和其它方法需要冗余数据、进行假定、是计算密集型的,和/或具有其它缺点。
78.相比之下,本方法和系统被配置用于用参数化模型预测复电场图像。用参数化模型预测复电场图像相较于先前方法可以是较不计算密集型的和/或具有其它优势。参数化模型被配置成显著降低相位恢复问题的维度,随后可以在几个维度中使用基于梯度的优化方案(例如)来解决该问题。
79.作为另一示例,来自不同过程传感器和/或工具的数据可以是不同的,即使对于同一所测量的或所成像的目标也是如此。解决这些差异的先前尝试包括实体地调整传感器或工具中的一个或更多个部件、调整给定传感器或工具上的测量或成像参数,和/或进行其它调整,以使来自特定传感器或工具的数据与来自其它传感器或工具的数据的一致性更好。然而,这些调整不总是被一致地应用、依赖于人类判断和/或实体部件的特定布置、和/或具有其它缺点。
80.相比之下,本方法和系统被配置成在参数化模型的一个或更多个隐空间或潜在空间(其中相较于来自不同传感器和/或工具的原始数据中的维度的数目,待分析的数据的维度更少)中确定至所述参数化模型的给定输入的潜在空间表示。基于给定输入的参考潜在空间表示将潜在空间表示变换成给定输入的经变换的潜在空间表示,以及基于给定输入的经变换的潜在空间表示来预测过程信息。例如,给定输入可以与目标相关联,并且从被配置成产生给定输入的多个不同传感器和/或工具中的一个被接收到。所述变换和预测被配置成使得对于目标来说,来自参数化模型的被预测的和/或以其它方式所确定的过程信息(例如图像、测量结果、过程参数、量测指标等)是相同的,与传感器和/或工具中的哪一个产生给定输入无关。
81.作为第三示例,诸如目标设计、制造选配方案确定和/或其它操作的操作通常需要迭代实验,其中在每次迭代中有目的地调整所选设计或选配方案变量,而其它变量对于所述迭代保持固定。每次迭代通常需要记录和分析各种测量结果。在若干迭代之后,可以选择特定目标设计或制造选配方案(例如通过消除过程和/或不同方法)。
82.相比之下,本方法和系统被配置成基于在参数化模型(同样,其中相较于来自不同实验性迭代的原始数据中的维度的数目,待分析的数据的维度更少)的一个或更多个潜在空间中的维度数据来确定与至参数化模型的给定输入相关联的最优过程参数集合的潜在空间表示。给定输入可以包括例如目标设计或制造选配方案或另一输入的所需的(例如由于设计要求、机器能力、物理学等而不可变的)元素。本系统和方法被配置成基于最优过程条件集合的潜在空间表示来预测和/或以其它方式确定过程信息,诸如最优目标设计或制造选配方案。
83.这些示例不意图是限制性的。也应注意,一个或更多个参数化模型可以进行上文所描述的操作中的一些或所有。例如,可以训练一个参数化模型以预测复电场图像、变换来自不同传感器和/或工具的数据使得同一目标的数据匹配、和确定最优目标设计和/或制造选配方案;或可以通过不同参数化模型进行这些不同操作。不同应用(预测复电场图像、变换来自不同传感器和/或工具的数据使得用于同一目标的、来自不同传感器和/或工具的数
据仍匹配、和确定最优目标设计和/或制造选配方案)可以一起被使用,或它们可以被单独地使用。
84.此外,虽然在本文中可以具体地参考ic的制造,但本文中的描述具有许多其它可能的应用。例如,其可以用于制造集成光学系统、用于磁畴存储器、液晶显示面板、薄膜磁头等的引导和检测图案。在这些替代性应用中,本领域技术人员应了解,在这样的替代性应用的情境下,本文中对术语“掩模版”、“晶片”或“管芯”的任何使用应被视为可以分别与更上位的术语“掩模”、“衬底”和“目标部分”互换。此外,应注意,本文中所描述的方法在多样化领域中可以具有许多其它可能的应用,所述领域例如是语言处理系统、自动驾驶汽车、医学成像和诊断、语意分段、去噪声、芯片设计、电子设计自动化等。本方法可以应用于其中量化机器学习模型预测中的不确定性是有利的任何领域中。
85.在本文献中,术语“辐射”和“束”用以涵盖所有类型的电磁辐射,包括紫外辐射(例如具有365nm、248nm、193nm、157nm或126nm的波长)和极紫外辐射(euv,例如具有在约5nm至100nm范围内的波长)。
86.图案形成装置可以包括或可以形成一个或更多个设计布局。可以利用计算机辅助设计(cad)过程来产生设计布局。这种过程通常称为电子设计自动化(eda)。大多数cad过程遵循预定设计规则集合,以便产生功能设计布局/图案形成装置。基于处理和设计限制来设置这些规则。例如,设计规则定义器件(诸如栅极、电容器等)或互联线之间的空间容许度,以确保器件或线不会以不期望的方式彼此相互作用。设计规则限制中的一个或更多个可以被称为“临界尺寸”(cd)。器件的临界尺寸可以被定义为线或孔的最小宽度,或两条线或两个孔之间的最小空间。因此,cd调节所设计的器件的总尺寸和密度。器件制造中的目标之一是在衬底上(经由图案形成装置)如实地再现原始设计意图。
87.如本文中所采用的术语“掩模版”、“掩模”或“图案形成装置”可以广义地解释为是指可以用于向入射辐射束赋予图案化横截面的通用图案形成装置,所述图案化横截面对应于待在衬底的目标部分中产生的图案。在这样的情境下,也可以使用术语“光阀”。除经典掩模(透射或反射;二元、相移、混合式等)以外,其它这样的图案形成装置的示例也包括可编程反射镜阵列。
88.作为简要介绍,图1示意性地描绘光刻设备la。光刻设备la包括:照射系统(也称为照射器)il,所述照射系统被配置成调节辐射束b(例如uv辐射、duv辐射或euv辐射);掩模支撑件(例如掩模台)t,所述掩模支撑件被构造成支撑图案形成装置(例如掩模)ma且连接至被配置成根据某些参数准确地定位图案形成装置ma的第一定位器pm;衬底支撑件(例如晶片台)wt,所述衬底支撑件被配置成保持衬底(例如涂覆有抗蚀剂的晶片)w且联接至被配置成根据某些参数准确地定位衬底支撑件的第二定位器pw;以及投影系统(例如折射型投影透镜系统)ps,所述投影系统被配置成将由图案形成装置ma赋予辐射束b的图案投影至衬底w的目标部分c(例如包括一个或更多个管芯)上。
89.在操作中,照射系统il例如经由束传递系统bd从辐射源so接收辐射束。照射系统il可以包括用于引导、成形即整形和/或控制辐射的各种类型的光学部件,诸如折射型、反射型、磁性型、电磁型、静电型和/或其它类型的光学部件,或其任何组合。照射器il可以用于调节辐射束b,以在图案形成装置ma的平面处在其横截面中具有期望的空间和角强度分布。
90.本文中所使用的术语“投影系统”ps应广义地解释为涵盖适于所使用的曝光辐射和/或适于诸如浸渍液体的使用或真空的使用之类的其它因素的各种类型的投影系统,包括折射型、反射型、反射折射型、变形型、磁性型、电磁型和/或静电型光学系统,或其任何组合。可以认为本文中对术语“投影透镜”的任何使用与更上位的术语“投影系统”ps同义。
91.光刻设备la可以属于这样一种类型:其中衬底的至少一部分可以由具有相对高折射率的例如水的液体覆盖,以便填充投影系统ps与衬底w之间的空间——这也称为浸渍光刻术。在以引用的方式并入本文中的us6952253中给出关于浸渍技术的更多信息。
92.光刻设备la也可以属于具有两个或更多个衬底支撑件wt(也称为“双平台”)的类型。在这样的“多平台”机器中,可以并行地使用衬底支撑件wt,和/或可以在位于衬底支撑件wt中的一个衬底支撑件上的衬底w上进行准备衬底w的后续曝光的步骤,同时将另一衬底支撑件wt上的另一衬底w被使用以用于对所述另一衬底w上的图案的曝光。
93.除衬底支撑件wt之外,光刻设备la也可以包括测量平台。所述测量平台被布置成保持传感器和/或清洁装置。传感器可以被布置成测量投影系统ps的性质或辐射束b的性质。测量平台可以保持多个传感器。清洁装置可以被布置成清洁光刻设备的部分,例如投影系统ps的一部分或提供浸渍液体的系统的一部分。测量平台可以在衬底支撑件wt远离投影系统ps时在投影系统ps下方移动。
94.在操作中,辐射束b入射到被保持在掩模支撑件mt上的图案形成装置(例如掩模)ma上,并且通过存在于图案形成装置ma上的图案(设计布局)被图案化。在已横穿掩模ma的情况下,辐射束b穿过投影系统ps,投影系统ps将所述束聚焦至衬底w的目标部分c上。借助于第二定位器pw和位置测量系统if,可以准确地移动衬底支撑件wt,例如以便在聚焦且对准的位置处在辐射束b的路径中定位不同的目标部分c。类似地,第一定位器pm和可能的另一位置传感器(其在图1中未明确地描绘)可以用于相对于辐射束b的路径来准确地定位图案形成装置ma。可以使用掩模对准标记m1、m2和衬底对准标记p1、p2来对准图案形成装置ma和衬底w。虽然衬底对准标记p1、p2(如所图示)占据专用目标部分,但所述标汜可以位于目标部分之间的空间中。当衬底对准标记p1、p2位于目标部分c之间时,将这些标记称为划线对准标记。
95.图2描绘光刻单元lc的示意图综述。如图2中示出的,光刻设备la可以形成光刻单元lc(有时也称为光刻元或(光刻)簇)的部分,所述光刻单元lc通常也包括用以对衬底w进行曝光前过程和曝光后过程的设备。常规地,这些装置包括被配置成沉积抗蚀剂层的旋涂器sc、用以显影曝光后的抗蚀剂的显影器de、例如用于调节衬底w的温度(例如用于调节抗蚀剂层中的溶剂)的激冷板ch和焙烤板bk。衬底输送装置或机器人ro从输入/输出端口i/o1、i/o2拾取衬底w、在不同过程设备之间移动衬底w且将衬底w传递至光刻设备la的进料台lb。光刻单元中通常也统称为轨道或涂覆显影系统的装置通常处于轨道或涂覆显影系统控制单元tcu的控制下,所述轨道或涂覆显影系统控制单元tcu自身可以由管理控制系统scs控制,所述管理控制系统scs也可以例如经由光刻控制单元lacu控制光刻设备la。
96.为了使通过光刻设备la曝光的衬底w(图1)正确且一致地曝光,期望检查衬底以测量图案化结构的性质,诸如后续层之间的重叠误差、线厚度、临界尺寸(cd)等。为这种目的,可以在光刻单元lc中包括检查工具(未示出)。如果检测到误差,则可以对后续衬底的曝光或对待对衬底w进行的其它处理步骤进行例如调整,在同一批量或批次的其它衬底w仍待曝
光或处理之前进行检查的情况下尤其如此。
97.检查设备(其也可以称为量测设备)用于确定衬底w(图1)的性质,并且特别地,确定不同衬底w的性质如何变化或与同一衬底w的不同层相关联的性质如何在层间变化。替代地,检查设备构造成识别衬底w上的缺陷,并且可以例如为光刻单元lc的部分,或可以集成至光刻设备la中,或甚至可以是单独的装置。检查设备可以测量潜像(曝光之后在抗蚀剂层中的图像)上的性质,或半潜像(曝光后焙烤步骤peb之后在抗蚀剂层中的图像)上的性质,或显影后的抗蚀剂图像(其中抗蚀剂的曝光部分或未曝光部分已被移除)上的性质,或甚至蚀刻后的图像(在诸如蚀刻的图案转印步骤之后)上的性质。
98.图3描绘整体光刻的示意性表示,其表示用以优化半导体制造的三种技术之间的协作。通常,光刻设备la中的图案化过程为处理中最关键步骤之一,其要求衬底w(图1)上的结构的尺寸设计和放置的高准确度。为确保这种高准确度,三个系统(在这个示例中)可以被组合于所谓的“整体”控制环境中,如图3中示意性地描绘的。这些系统中的一个系统是光刻设备la,所述光刻设备(虚拟地)连接至量测设备(例如量测工具)mt(第二系统),并且连接至计算机系统cl(第三系统)。“整体”环境可以被配置成优化这三个系统之间的协作以增强总过程窗口且提供严格控制回路,从而确保通过光刻设备la进行的图案化保持在过程窗口内。过程窗口定义过程参数(例如剂量、焦距、重叠)范围,在所述范围内,特定制造过程产生所定义的结果(例如功能性半导体器件)——通常在所述所定义的结果内,允许光刻过程或图案化过程中的过程参数变化。
99.计算机系统cl可以使用待图案化的设计布局(的部分)来预测使用哪些分辨率增强技术,且进行计算光刻模拟和计算,以确定哪些掩模布局和光刻设备设置实现图案化过程的最大总过程窗口(在图3中由第一标尺sc1中的双箭头描绘)。通常,分辨率增强技术被布置成匹配光刻设备la的图案化可能性。计算机系统cl也可以用于检测光刻设备la当前正在过程窗口内何处操作(例如使用来自量测工具mt的输入)以预测归因于例如次优处理是否可能存在缺陷(在图3中由第二标尺sc2中的指向“0”的箭头描绘)。
100.量测设备(工具)mt可以将输入提供至计算机系统cl以实现准确模拟和预测,并且可以将反馈提供至光刻设备la以识别例如光刻设备la的校准状态中的可能漂移(在图3中由第三标尺sc3中的多个箭头描绘)。
101.在光刻过程中,期望频繁地对所产生的结构进行测量,例如用于过程控制和校验。用以进行这样的测量的工具包括量测工具(设备)mt。用于进行这样的测量的不同类型的量测工具mt是众所周知的,包括扫描电子显微镜或各种形式的散射仪量测工具mt。散射仪是多功能仪器,其允许通过在光瞳或与散射仪的物镜的光瞳共轭的平面中具有传感器来测量光刻过程的参数(测量通常称为基于光瞳的测量),或通过在像平面或与像平面共轭的平面中具有传感器来测量光刻过程的参数,在这种情况下测量通常称为基于图像或场的测量。这样的散射仪和相关联测量技术被进一步描述于以全文引用的方式并入本文中的专利申请us20100328655、us2011102753a1、us20120044470a、us20110249244、us20110026032或ep1628164a中。例如,前述散射仪可以使用来自软x射线和可见光至近ir波长范围的光来测量衬底的特征,诸如光栅。
102.在一些示例中,散射仪mt是角分辨散射仪。在这些实施例中,可以将散射仪重构方法应用于所测量的信号以重构或计算衬底中的光栅和/或其它特征的性质。这种重构可以
例如由模拟散射辐射与目标结构的数学模型的交互作用且比较模拟结果与测量的结果引起。调整数学模型的参数,直到所模拟的相互作用产生与从真实目标观测到的衍射图案类似的衍射图案为止。
103.在一些实施例中,散射仪mt是光谱散射仪mt。在这些实施例中,光谱散射仪mt可以被配置成使得将通过辐射源发射的辐射引导至衬底的目标特征上且将来自目标的反射或散射辐射引导至分光计检测器,所述分光计检测器测量反射镜反射辐射的光谱(即测量作为波长的函数的强度)。根据这种数据,可以例如通过严格耦合波分析和非线性回归或通过与模拟光谱库比较来重构产生所检测的光谱的目标的结构或轮廓。
104.在一些实施例中,散射仪mt为椭偏测量散射仪。椭偏测量散射仪允许通过测量针对每个偏振状态的散射辐射来确定光刻过程的参数。这种量测设备(mt)通过在量测设备的照射区段中使用例如适当的偏振滤波器来发射偏振光(诸如线性、圆形或椭圆)。适用于量测设备的源也可以提供偏振辐射。现有椭偏测量散射仪的各个实施例被描述于以全文引用的方式并入本文中的美国专利申请11/451,599、11/708,678、12/256,780、12/486,449、12/920,968、12/922,587、13/000,229、13/033,135、13/533,110和13/891,410中。
105.在一些实施例中,散射仪mt适于通过测量反射光谱和/或检测配置中的不对称来测量两个未对准光栅或周期性结构(和/或衬底的其它目标特征)的重叠,所述不对称与重叠程度相关。可以将两个(通常叠置的)光栅结构应用于两个不同层(不必是连续层)中,并且所述光栅结构可以形成为大致处于晶片上的相同位置。散射仪可以具有如例如描述于专利申请ep1,628,164a中的对称检测配置,使得可以清楚地区分任何不对称。这提供用以测量光栅中的未对准的方式。可以在全文引用方式并入本文中的pct专利申请公开号wo 2011/012624或美国专利申请us 20160161863中找到测量重叠的另外的示例。
106.其它感兴趣的参数可以是焦距和剂量。可以通过如描述于以全文引用的方式并入本文中的美国专利申请us2011-0249244中的散射测量(或替代地通过扫描电子显微术)同时确定焦距和剂量。可以使用单个结构(例如衬底中的特征),其具有针对焦距能量矩阵(fem,也称为焦距曝光矩阵)中的每个点的临界尺寸和侧壁角测量的独特组合。如果临界尺寸和侧壁角的这些独特组合是可以获得的,则可以根据这些测量唯一地确定焦距和剂量值。
107.量测目标可以是衬底中的复合光栅和/或其它特征的集合,其通过光刻过程(通常在抗蚀剂中,但也可以在例如蚀刻过程之后)形成。通常,光栅中的结构的节距和线宽依赖于测量光学器件(尤其光学器件的na)以能够捕获来自量测目标的衍射阶。衍射信号可以用于确定两个层之间的移位(也称为“重叠”)或可以用于重构如通过光刻过程所产生的原始光栅的至少一部分。这种重构可以用于提供光刻过程的品质的引导,并且可以用于控制光刻过程的至少一部分。目标可以具有较小子分段,所述子分段被配置成模仿目标中的设计布局的功能性部分的尺寸。归因于这种子分段,目标将表现得更类似于设计布局的功能性部分,使得总过程参数测量与设计布局的功能性部分类似。可以在欠填充模式下或在过填充模式下测量目标。在欠填充模式下,测量束产生小于总目标的斑。在过填充模式下,测量束产生大于总目标的斑。在这样的过填充模式下,也可能同时测量不同的目标,由此同时确定不同处理参数。
108.使用特定目标的光刻参数的总测量品质是至少部分地通过用于测量这种光刻参
数的测量选配方案来确定的。术语“衬底测量选配方案”可以包括测量自身的一个或更多个参数、所测量的一个或更多个图案的一个或更多个参数,或这两者。例如,如果用于衬底测量选配方案中的测量为基于衍射的光学测量,则测量的参数中的一个或更多个可以包括辐射的波长、辐射的偏振、辐射相对于衬底的入射角、辐射相对于衬底上的图案的方向等。用以选择测量选配方案的准则之一可以例如是测量参数中的一个参数对于处理偏差的灵敏度。更多示例描述于以全文引用的方式并入本文中的美国专利申请us2016-0161863和公开的美国专利申请us 2016/0370717a1中。
109.图4图示诸如散射仪之类的示例量测设备(工具)mt。mt包括将辐射投影至衬底42上的宽带(白光)辐射投影仪40。将反射或散射辐射传递至分光计检测器44,所述分光计检测器44测量反射镜反射辐射的光谱46(即测量作为波长的函数的强度)。根据这种数据,可以例如通过严格耦合波分析和非线性回归或通过与模拟光谱库比较来重构48产生所检测的光谱的结构或轮廓,如图3的底部处所示出。通常,对于重构,结构的一般形式是已知的,并且根据制造结构的过程的知识来假定一些参数,从而仅留下结构的几个参数待根据散射测量数据来确定。例如,这种散射仪可以被配置成正入射散射仪或斜入射散射仪。
110.常常期望能够以计算方式确定图案化过程将如何在衬底上产生期望的图案。计算确定可以包括例如建模。可以针对制造过程的一个或更多个部分提供模型和/或模拟。例如,期望能够模拟将图案形成器件图案转印至衬底的抗蚀剂层上的光刻过程以及在抗蚀剂的显影之后在所述抗蚀剂层中产生的图案、模拟量测操作(诸如重叠的确定)和/或进行其它模拟。模拟的目的可以是准确地预测例如量测指标(例如重叠、临界尺寸,衬底的特征的三维轮廓的重构、在衬底的特征用光刻设备印制时光刻设备的剂量或焦距等)、制造过程参数(例如边缘放置、空间图像强度斜率、次分辨率辅助特征(sraf)等),和/或接着可以用于确定是否已实现预期或目标设计的其它信息。预期设计通常定义为预光学邻近效应校正设计布局,其可以被提供为诸如gdsii、oasis或另一文件格式等标准化数字文件格式。
111.建模可以用于确定一个或更多个量测指标(例如进行重叠和/或其它量测测量)、配置图案形成器件图案的一个或更多个特征(例如进行光学邻近效应校正)、配置照射的一个或更多个特征(例如改变照射的空间/角强度分布的一个或更多个特性,诸如改变形状)、配置投影光学器件的一个或更多个特征(例如数值孔径等),和/或用于其它目的。这种确定和/或配置通常可以被称为例如掩模优化、源优化和/或投影优化。可以独立地或以不同组合等方式组合来进行这样的优化。一个这种示例是源-掩模优化(smo),其涉及配置图案形成器件图案的一个或更多个特征以及照射的一个或更多个特征。优化可以例如使用本文中所描述的参数化模型以预测各种参数(包括图像等)的值。
112.在一些实施例中,可以将系统的优化过程表示为成本函数。优化过程可以包括寻找系统的最小化成本函数的参数集合(设计变量、过程变量等)。成本函数可以依赖于优化的目标而具有任何适合的形式。例如,成本函数可以是系统的某些特性(评估点)相对于这些特性的预期值(例如理想值)的偏差的加权均方根(rms)。成本函数也可以是这些偏差的最大值(即,最差偏差)。术语“评估点”应广义地解释为包括系统或制造方法的任何特性。归因于系统和/或方法的实施的适用性,系统的设计和/或过程变量可以受限于有限范围和/或可以是相互依赖的。在光刻投影设备的情况下,约束常常与硬件的物理性质和特性(诸如可调谐范围和/或图案形成装置可制造性设计规则)相关联。评估点可以包括衬底上的抗蚀
剂图像上的实体点,以及非物理特性,诸如(例如)剂量和焦距。
113.在一些实施例中,本系统和方法可以包括进行本文中所描述的操作中的一个或更多个经验模型。经验模型可以基于各种输入之间的相关性(例如复电场图像的一个或更多个特性、设计布局的一个或更多个特性、图案形成装置的一个或更多个特性、在光刻过程中使用的照射的一个或更多个特性(诸如波长)等)来预测输出。
114.作为示例,经验模型可以是参数化模型和/或其它模型。参数化模型可以是机器学习模型和/或任何其它参数化模型。在一些实施例中,机器学习模型(例如)可以是和/或包括数学方程式、算法、曲线图、图表、网络(例如神经网络),和/或其它工具和机器学习模型部件。例如,机器学习模型可以是和/或包括具有输入层、输出层和一个或更多个中间或隐藏层的一个或更多个神经网络。在一些实施例中,一个或更多个神经网络可以是和/或包括深度神经网络(例如在输入层与输出层之间具有一个或更多个中间或隐藏层的神经网络)。
115.作为示例,一个或更多个神经网络可以是基于大的神经单元(或人工神经元)集合。所述一个或更多个神经网络可以不严格地模仿生物大脑工作的方式(例如通过由轴突连接的大的生物神经元簇)。神经网络的每个神经单元可以与所述神经网络的许多其它神经单元连接。这样的连接可以加强或抑制其对所连接的神经单元的激活状态的影响。在一些实施例中,每个单独的神经单元可以具有将所有其输入的值组合在一起的求和函数。在一些实施例中,每个连接(或神经单元自身)可以具有阈值功能,使得信号在其经允许传播至其它神经单元之前必须超出阈值。这些神经网络系统可以是自学习和训练后的,而不是被明确编程的,并且与传统计算机程序相比,可以在某些问题解决领域中显著更好地进行。在一些实施例中,一个或更多个神经网络可以包括多个层(例如其中信号路径从前端层横穿至后端层)。在一些实施例中,可以由神经网络利用反向传播技术,其中使用前向刺激以对“前端”神经单元重设权重。在一些实施例中,对一个或更多个神经网络的刺激和抑制可以是更自由的流动,其中连接以较混乱且复杂的方式相互作用。在一些实施例中,一个或更多个神经网络的中间层包括一个或更多个卷积层、一个或更多个递归层和/或其它层。
116.可以使用训练数据集合(例如地面实况)来训练一个或更多个神经网络(即其参数被确定)。训练数据可以包括训练样本集合。每个样本可以是包括输入对象(通常是图像、测量结果、张量或向量(其可以被称为特征张量或向量))和期望的输出值(也称为管理信号)的对。训练算法分析训练数据,并且通过基于训练数据调整神经网络的参数(例如一个或更多个层的权重)来调整神经网络的行为。例如,在给定呈{(x1,y1),(x2,y2),...,(xn,yn)}形式的n个训练样本的集合使得xi为第i示例的特征张量/向量且yi为其管理信号的情况下,训练算法寻求神经网络g:x

y,其中x为输入空间且y为输出空间。特征张量/向量为表示一些对象(例如复电场图像)的数值特征的n维张量/向量。与这些向量相关联的张量/向量空间常常称为特征或潜在空间。在训练之后,神经网络可以用于使用新样本来进行预测。
117.如本文中所描述的,本方法和系统包括使用可变编码器-解码器架构的参数化模型(例如机器学习模型,诸如神经网络)。在模型(例如神经网络)的中间(例如中间层)中,本模型使低维编码(例如潜在空间)公式化,其将信息封装于模型的输入(例如复电场图像和/或与半导体制造过程的图案或其它特征相关联的其它输入)中。本系统和方法利用潜在空间的低维度和紧凑性在潜在空间中直接进行确定。
118.借助于非限制性示例,图5图示(可变)编码器-解码器架构50。编码器-解码器架构
50具有编码部分52(编码器)和解码部分54(解码器)。在图5中示出的示例中,编码器-解码器架构50可以输出所预测的复电场图像56。
119.借助于另一非限制性示例,图6图示神经网络62内的编码器-解码器架构50。编码器-解码器架构50包括编码部分52和解码部分54。在图6中,x表示编码器输入(例如输入的复电场图像和/或输入的复电场图像的提取特征)且x’表示解码器输出(例如预测的输出图像和/或输出图像的预测特征)。在一些实施例中,x’可以表示例如来自神经网络的中间层的输出(相比于总模型的最终输出),和/或其它输出。在图6中,z表示潜在空间64和/或低维编码(张量/向量)。在一些实施例中,z为潜变量(latent variable)或与潜变量相关。
120.在一些实施例中,低维编码z表示输入(例如复电场图像)的一个或更多个特征。输入的一个或更多个特征可以认为是输入的关键或决定性特征。特征可以是由于例如其与期望的输出的其它特征相比相对更具预测性和/或具有其它特性而被认为是输入的关键或决定性特征。在低维编码中所表示的一个或更多个特征(维度)可以(例如由过程设计师在创建本机器学习模型时)被预先确定、由神经网络的先前层确定、由使用者经由与本文中所描述的系统相关联的用户接口调整、和/或可以通过其它方法来确定。在一些实施例中,由低维编码表示的特征(维度)的数量可以(例如由过程设计师在创建本机器学习模型时)被预定确定、基于来自神经网络的先前层的输出来确定、由使用者经由与本文中所描述的系统相关联的用户接口而调整、和/或通过其它方法来确定。
121.应注意,虽然贯穿本说明书提及机器学习模型、神经网络和/或编码器-解码器架构,但机器学习模型、神经网络和编码器-解码器架构仅是示例,并且本文中所描述的操作可以应用于不同参数化模型。
122.如上文所描述的,过程信息(例如,图像、测量结果、过程参数、量测指标等)可以用于引导各种制造操作。利用潜在空间的相对较低维度来预测和/或以其它方式确定过程信息可以相较于确定过程信息的先前方法更快、更高效、需要更少计算资源和/或具有其它优势。
123.低维潜在空间可以有利地用于预测例如复电场图像。预测复电场图像需要基于对应的振幅测量结果来确定和/或预测与复电场图像相关联的相位。典型的相位恢复包括根据以下方程从(例如特定目标的)对应的振幅(例如强度)测量结果y复原复(值)电场x∈cn:
124.y=|ax|+∈
ꢀꢀꢀꢀ
(1)
125.其中y∈rm为测量向量,a∈cm×n为测量矩阵,∈∈rm为测量噪声(其中∈表示噪声),x为在相机水平处的完全聚焦的复值电场,a为将聚焦电场传播至离焦电场的散焦算子(作为通过沿z移动相机引入测量分集的结果),y为振幅测量结果集合(例如针对各种散焦位置(潜在地由随机噪声∈污染)在相机处的电场的模量),m为所测量的信号的尺寸,并且n为所恢复的复值电场的尺寸。作为示例:n可以是相机的像素的数目(假定想要恢复所有像素的电场而不是子集的电场),并且m=k*n,其中k为对应于(跨焦)测量的数目的正整数(即,用相机拍摄的不同获取结果的数目)。
126.由于与复电场相关联的大量未知数(例如数量级为106)、非线性和非凸性(其组合以使相位恢复成为高维问题),因此相位恢复是困难的。相位恢复的现有方法包括在振幅测量中引入冗余(例如对x进行比真实信号的维度更多的振幅测量,使得m>n;通常呈过采样傅立叶变换、短时傅立叶变换、随机高斯测量、使用随机掩模或结构化照射的被编码的衍射
图案、小波变换和/或伽柏(gabor)框的形式),或利用关于复电场图像的先前知识(例如利用关于真实信号x(先前信息)的知识,诸如稀疏性、梯度稀疏性(总变差)、平滑性、紧凑性、非负性等)以减少未知数的数目)。
127.作为示例,相位恢复用于恢复相机(例如用于获取电场图像的传感器)处的像差复值电场。图7图示与获得复电场图像相关联的示例相机70(例如上文所描述的变量x、y和a与相机70相关)。图7也图示光瞳72(和相关联像差74)、目标76和照射78。具有对全场(振幅和相位两者)的访问允许经由对光瞳72的反向变换、划分出像差74(从分立的校准步骤获得)、随后是对相机70的正向变换,以计算方式移除与对应传感器(例如相机70)相关联的像差74。相机70处的清理后的图像允许更稳健的重叠和/或其它量测参数确定。
128.这些和其它先前方法需要冗余数据、进行假定、是计算密集型的,和/或具有其它缺点。冗余测量导致生产量降低。将假定和/或其它先前信息手动并入相位恢复问题中引入偏差,这是由于其没有通过数据直接确定。此外,由于x的维度较大,因此资源的计算成本较高,使得迭代相位恢复操作非常耗时。
129.有利地,本系统和方法使用本文中所描述的参数化模型来解决相位恢复问题。参数化模型被配置成用于预测电场图像、预测量测指标(例如代替上文所描述的量测设备或除上文所描述的量测设备之外)、和/或预测其它信息。参数化模型被配置成显著降低相位恢复问题的维度,这接着可以在几个维度中使用基于简单梯度的优化方案和/或使用其它方法来被解决。
130.图8图示用于用参数化模型预测电场图像的本方法的操作的概述方法80。在操作82处,训练参数化模型。在操作84处,针对给定输入确定电场图像的潜在空间表示。在操作86处,用参数化模型预测电场图像。在操作88处,基于电场图像的潜在空间表示、所预测的电场图像和/或其它信息来确定一个或更多个量测指标。以下呈现的方法80的操作意图是说明性的。在一些实施例中,可以用未描述的一个或更多个额外的操作和/或不用所论述的操作中的一个或更多来实现方法80。例如,方法80可能不需要确定一个或更多个量测指标。另外,方法80的操作在图8中被图示且在下文所描述的次序不意图是限制性的。在一些实施例中,方法80的一个或更多个部分可以(例如通过模拟、建模等)实施于一个或更多个处理装置(例如一个或更多个处理器)中。一个或更多个处理装置可以包括响应于以电子方式储存在电子储存介质上的指令而执行方法80的操作中的一些或所有的一个或更多个装置。一个或更多个处理装置可以包括经由硬件、固件和/或软件配置的一个或更多个装置,所述硬件、固件和/或软件被专门设计用于执行例如方法80的操作中的一个或更多个。
131.在操作82处,训练参数化模型。参数化模型可以是包括神经网络、编码器-解码器架构和/或其它部件的机器学习模型。编码器-解码器架构可以是可变编码器-解码器架构和/或其它架构。在一些实施例中,参数化模型可以是包括编码器、解码器和/或其它部件的可变深度神经网络(dnn)。在一些实施例中,参数化模型的这种或类似结构促进在根据参数化模型的预测中包括不确定性和/或具有其它优势。例如,由于参数化模型是可变的(如本文中所描述的),因此参数化模型能够针对单个输入输出多个预测实现。这又意味着可以例如通过计算那些实现的标准差,针对那些实现确定不确定性指标。
132.操作82包括用概率性潜在空间训练可变编码器-解码器架构,这种训练在输出空间中产生实现。在一些实施例中,潜在空间包括低维编码(例如如上文所描述的)。用现有数
据(例如具有振幅和相位的所测量的和/或所模拟的复场图像、对应的量测指标等)和/或其它信息训练参数化模型。在一些实施例中,参数化模型可以对例如在图7中示出的光瞳、像差、目标或照射中的一个或更多个进行建模(或对所述光瞳、像差、目标或照射中的一个或更多个功能性进行建模)。
133.当训练参数化模型时,潜在空间形成(例如)编码图像的被压缩的连续表示,其促进潜在空间中的各种操作的性能。有利地,潜在空间是低维的(例如相较于图像空间)。各种操作可以包括例如确定复电场图像的潜在空间表示(如下文所描述的)和/或其它操作。例如,至少归因于潜在空间的低维值(相对于图像空间),相较于图像空间,这在潜在空间中进行可能在计算上更便宜。
134.在一些实施例中,潜在空间中的维度数据由编码器-解码器架构的编码器编码。在一些实施例中,通过编码器-解码器架构的解码器产生来自参数化模型的预测和/或其它输出。如本文中所描述的,编码器包括参数化模型的、被配置成将模型输入变换成潜在空间中的维度数据的部分,并且解码器包括参数化模型的、被配置成将潜在空间中的维度数据变换成输出实现的不同部分。变换可以包括例如编码、解码、投影、映射等。借助于非限制性实际示例,在一些实施例中,模型输入可以是与半导体器件制造过程相关联的复电场图像和/或其它信息。潜在空间中的维度数据可以包括与模型输入相关联的多维张量和/或向量。输出实现可以包括所预测的复电场图像、量测指标和/或其它信息。在一些实施例中,预测包括用神经网络的一个或更多个层和/或一个或更多个节点将维度数据的多维张量和/或向量解码成输出实现。
135.用(已知(例如所测量的和/或所建模的))电场图像、对应的振幅测量结果、量测指标和/或其它信息训练参数化模型。电场图像和对应的振幅测量结果和/或量测指标可以形成例如输入对象/输出值训练对(如本文中所描述的)。电场图像可以是复电场图像和/或其它电场图像。复电场图像可以包括振幅、相位和/或其它信息。振幅可以是例如复值电场的绝对值。振幅可以包括例如图像强度,和/或是振幅的函数的其它参数。相位可以包括例如复平面中的复值电场向量的角度。因此,用电场图像的对应的振幅和/或相位信息和/或其它信息训练参数化模型。
136.在一些实施例中,操作82包括用复电场图像训练集合训练参数化模型。在一些实施例中,在离焦测量期间产生和/或以其它方式产生复电场图像集合。例如,在一些实施例中,通过调整相机(例如在图7中示出的相机70)的位置来产生复电场图像集合,从而获得在“z”方向上在上的和/或在下的图像(例如如图7中示出)。
137.在一些实施例中,操作82包括用编码器将与电场图像相关联的较高维度数据编码成潜在空间中的维度数据。在一些实施例中,操作82包括将训练集合中的复电场图像、所测量的振幅、量测指标和/或其它信息编码成潜在空间中的维度数据,以及将潜在空间中的维度数据变换成训练集合中的复电场图像的复原后的版本,预测量测指标,和/或进行其它操作,以促进对所述训练的校验。
138.在一些实施例中,操作82包括迭代地提供额外的复电场图像以作为参数化模型的输入。额外的复电场图像是基于复电场图像的复原后的版本与训练集合中的复电场图像匹配的程度来被确定的。例如,在训练期间,如果复原后的(或所预测的)复电场图像匹配对应的输入图像,则可能需要提供更少或不提供额外的复电场图像以用于训练。相反,如果复原
后的(或所预测的)复电场图像不匹配或不良地匹配对应的输入图像,则可能需要提供若干额外的复电场图像以用于训练。这种过程可以重复任何次,直到充分训练参数化模型为止。
139.图9图示参数化模型90的示例。参数化模型90可以是例如可变自动编码器。参数化模型90使用编码器或编码器网络91将输入(x)92(例如具有振幅和相位的复电场图像、所测量的振幅、量测指标,和/或其它输入)编码成连续表示(也称为潜在空间(z)93),并且使用解码器或解码器网络94来产生对应输出(x’)95(具有预测的振幅和相位的预测图像,和/或其它输出,诸如所预测的量测指标)。例如,编码器或编码器网络91(e(x))可以被配置成将输入图像x∈cn转换成较低维的、实数值连续本征向量(latent vector)z∈rk(k<<n)。c可以表示复值数的空间,r可以表示实数值数的空间,k可以表示潜在空间的维度,并且n可以表示输入空间的维度。编码器或编码器网络91也可以对这种本征向量的不确定性进行建模。解码器或解码器网络94d(z)可以被配置成将连续本征向量转换返回成输入图像x’的副本。
140.如图9中示出的,在一些实施例中,参数化模型90包括被配置成确定一个或更多个量测指标(v)97的部分96(这在下文被进一步描述)。网络91、94两者和部分96的训练使用输入对象/输出值对(例如,如上文所描述的电场图像和对应的振幅测量结果和/或量测指标)来进行。
141.参数化模型90学习在低维潜在空间中编码复电场图像x。在给定低维输入向量z的情况下,在潜在空间中,解码器或解码器网络94能够产生新的样本d(z)。参数化模型90的可变性质实现不确定性的预测,这又可以用于不确定性引导训练(主动学习)。这可以包括向产生较大不确定性的参数化模型90提供复电场图像的更多训练示例。在一些实施例中,用于训练参数化模型90的损失函数可以是例如使用鉴别器网络直接从数据学习的典型(l1、l2等)范数或类似性指标。
142.在一些实施例中,可以充分训练参数化模型90。在这些实施例中,操作82可以被配置成通过用新的和/或另外的输入对象/输出值对训练参数化模型90来改善参数化模型90。在一些实施例中,参数化模型90可以部分地被训练或未被训练。在这些实施例中,操作82可以促进对参数化模型90的至少部分训练,包括形成潜在空间93。
143.返回至图8,在操作84处,针对至参数化模型的给定输入确定(例如复)电场图像的潜在空间表示。给定输入包括与电场图像相关联的所测量的振幅、和/或其它信息。电场图像的潜在空间表示包括连续的潜在张量、向量和/或其它潜在空间表示。基于参数化模型的潜在空间中的维度数据和/或其它信息来确定潜在空间表示。在一些实施例中,确定电场图像的潜在空间表示包括最小化受电场图像的集合约束的函数,所述电场图像的集合可以通过参数化模型基于潜在空间中的维度数据和给定输入来被预测。
144.例如,为了最终从仅振幅测量结果y预测复电场图像(其包括振幅和相位两者),确定电场图像的潜在空间表示包括最小化以下目标函数:
[0145][0146]
其中range(d)是可以由预训练解码器d产生的潜在图像的集合。应注意,x’(上文所描述的)表示任何预测图像,并且x
*
为最小化方程式(2)中的范数的特定图像,即包含正
在尝试恢复的振幅和相位的图像。上述最小化问题可以在较低维潜在空间表示中等效地公式化如下:
[0147][0148]
变量z
*
为或表示电场图像的潜在空间表示。潜在空间的连续表示促进例如基于梯度的优化有效引导针对最优z
*
的搜索。
[0149]
在操作86处,用参数化模型预测电场图像。基于电场图像的潜在空间表示和/或其它信息来预测电场图像。在一些实施例中,基于电场图像的潜在空间表示来预测电场图像(例如操作86)包括将电场图像的潜在空间表示传递通过编码器-解码器架构的解码器。
[0150]
例如,如图9中示出的,解码器或解码器网络94(或解码器网络94的一部分)映射、投影、解码或以其它方式将潜在空间93中的电场图像的低维潜在空间表示变换为输出95。在一些实施例中,基于潜在空间93中的维度数据、潜在空间93中的复电场图像的低维潜在空间表示和/或其它信息来预测输出95。输出95在这个示例中为预测的复电场图像。换句话说,一旦找到z
*
,则通过解(至z
*
)通过由解码器的正向传递来预测复电场图像,使得
[0151]
x
*
=d(z
*
)。
ꢀꢀꢀꢀ
(4)
[0152]
由于对较低维潜在空间变量z进行优化,因此资源的计算成本相较于先前方法大致降低。预期具有其它优势。
[0153]
返回至图8,在操作88处,基于所预测的电场图像、(复)电场图像的低维潜在空间表示、潜在空间中的维度数据和/或其它信息来确定一个或更多个量测指标。所述确定可以包括预测和/或其它确定。例如,在一些实施例中,可以基于感兴趣的区域上的一个或更多个所预测的复电场图像的强度范围的平均值来预测和/或以其它方式确定诸如重叠之类的量测指标。作为另一示例,在一些实施例中,可以基于电场图像的潜在空间表示来预测和/或以其它方式确定量测指标。在一些实施例中,基于电场图像的潜在空间表示来确定一个或更多个量测指标包括将电场图像的潜在空间表示提供至回归网络和/或被包括在参数化模型中或与参数化模型分立的其它预测器。在一些实施例中,可以将潜在空间中的其它低维数据提供至这种回归网络以用于一个或更多个量测指标的预测和/或其它确定。
[0154]
例如,如图9中示出的,参数化模型90的部分96(在这个示例中包括回归网络r(z))被配置成基于潜在空间93中的低维数据来确定一个或更多个量测指标97。在图9中示出的示例中,一个或更多个量测指标包括重叠(v)。可以基于潜在空间中的本征向量(例如复电场图像的潜在空间表示)和/或其它信息来确定重叠。例如,可以借助于z
*
通过回归网络(例如部分96)的正向传递来预测和/或以其它方式确定量测指标,使得:
[0155]v*
=r(z
*
).
ꢀꢀꢀꢀ
(5)
[0156]
应注意,v(本文中所描述的)可以是任何所预测的量测指标(诸如重叠),并且v
*
为对应于图像x
*
的特定量测指标。
[0157]
如图9中示出的,在一些实施例中,参数化模型90可以被配置成使得固有地嵌入于初始信号x(例如输入92)中的额外信息可以经由额外步骤(诸如(例如)将其馈送至回归网络(例如部分96))被提取。以这种方式,量测信息可以与相位恢复同时地被提取。这里,示例的量测指标为重叠,但该方法可以扩展至嵌入于x中的任何额外的纯量参数(例如一个或更
多个量测指标和/或其它指标)。在一些实施例中,一个或更多个量测指标包括以下项中的一个或更多个:重叠、临界尺寸、衬底的特征的三维轮廓的重构、在用光刻设备印制衬底的特征时光刻设备的剂量或焦距、对准和/或其它量测指标。
[0158]
借助于非限制性实际示例,本文中所描述的本系统、方法、设备等可以用于使用包括一个或更多个机器学习算法(例如在图9中示出的编码器网络91、解码器网络94、部分96等)的参数化模型(例如在图9中示出的90)来确定半导体制造过程的一个或更多个量测指标(例如,如上文所描述的重叠)。与未知电场图像相关联的特征向量(例如低维被编码的潜在空间数据)可以被确定、编码和/或以其它方式(例如经由控制电路,所述控制电路是诸如示出于下文所描述的图10中的计算机系统100的计算机系统的部分)接收到。如本文中所描述的,特征向量表示与电场图像的潜在空间表示(例如参见图9中的潜在空间93)相对应的值。使用控制电路,可以将特征向量输入至机器学习模型或机器学习模型的一部分(例如在图9中示出的解码器网络94)中(例如提供至所述机器学习模型或机器学习模型的一部分)。在一些实施例中,机器学习模型可以包括生成式分类器(例如解码器),其用于基于与电场图像的潜在空间表示相对应的被标记的特征向量来识别已知电场图像。所述已知电场图像为电场图像的潜在空间表示的较高维表示(例如如本文中所描述的)。在一些实施例中,同样使用控制电路,从机器学习模型接收到第一预测。第一预测可以指示第一特征向量是否对应于已知电场图像。响应于第一预测指示第一特征向量对应于已知电场图像,可以产生针对与用于所述已知电场图像相对应的半导体制造过程的量测指标的推荐,以用于在用户接口(例如参见示出于图10中且下文所描述的显示器112)上显示该推荐。可以通过例如参数化模型90的部分96和/或本文中所描述的其它部件来进行这种操作。
[0159]
返回至图8,在一些实施例中,量测设备可以进行操作88和/或本文中所描述的其它操作中的一些或所有。例如,在一些实施例中,量测设备可以被配置成确定半导体制造过程的一个或更多个量测指标。该设备可以包括一个或更多个处理器,所述一个或更多个处理器被配置成:基于参数化模型的潜在空间中的维度数据来确定给定输入的电场图像的潜在空间表示;用参数化模型基于电场图像的潜在空间表示来预测电场图像;以及基于所预测的电场图像来确定半导体制造过程的一个或更多个量测指标。在一些实施例中,量测设备可以被包括在光刻单元中(例如,如本文中所描述的)或量测设备可以是独立的。
[0160]
在一些实施例中,操作88包括基于一个或更多个所预测的电场图像、一个或更多个量测指标和/或其它信息来确定对半导体制造过程参数的调整,所述半导体制造过程参数用于将衬底几何形状图案化,将衬底几何形状图案化是半导体制造过程的一部分。在一些实施例中,一个或更多个半导体制造过程参数包括掩模设计、光瞳形状、剂量、焦距和/或其它参数中的一个或更多个。在一些实施例中,一个或更多个半导体制造过程参数包括掩模设计,以使得掩模设计从第一掩模设计改变为第二掩模设计。预期有与集成电路制造过程的若干不同方面和/或其它过程相关的其它示例。
[0161]
本文中所描述的原理(例如利用训练后的参数化模型中的潜在空间的相对较低维度来预测和/或以其它方式确定过程信息)可以具有多个额外的应用(例如除在图8中示出且上文所描述的复电场预测应用之外和/或代替所述复电场预测应用)。例如,本系统和方法可以用于协调来自不同过程传感器和/或工具的数据,所述数据可能是不同的,即使对于同一测量或成像目标也是如此。
[0162]
本方法和系统被配置成在参数化模型的潜在空间(在该潜在空间中,相较于来自不同传感器和/或工具的原始数据中的维度的数目,待分析的数据的维度更少)中确定至所述参数化模型的给定输入的潜在空间表示。基于所述给定输入的参考潜在空间表示将潜在空间表示变换成所述给定输入的经变换的潜在空间表示;以及,基于所述给定输入的经变换的潜在空间表示来预测过程信息。例如,给定输入可以与目标相关联,并且是被从被配置成产生给定输入的多个不同传感器和/或工具中的一个接收到的。所述变换和预测被配置成使得目标的来自参数化模型的预测的和/或以其它方式确定的过程信息(例如图像、测量结果、过程参数、量测指标等)是相同的,与传感器和/或工具中的哪一个产生给定输入无关。
[0163]
在一些实施例中,给定输入与目标相关联,并且是被从被配置成产生给定输入的多个目标表征设备中的一个目标表征设备接收到的。目标表征设备可以包括被配置成产生关于目标的数据的各种传感器和/或工具。所述数据可以包括图像、各种指标的值、和/或其它信息。在一些实施例中,给定输入包括输入图像、输入过程测量结果和/或一系列过程测量结果和/或其它信息中的一个或更多个。在一些实施例中,潜在空间表示可以是张量、向量和/或其它潜在空间表示(例如,相较于与给定输入相关联的维度的数目具有更少维度的事物)。
[0164]
在一些实施例中,所述变换包括对给定输入的潜在空间表示进行的一种或更多种数学计算。在一些实施例中,在潜在空间中进行所述变换。所述数学计算可以包括:转换(例如在x、y、z或潜在空间中的其它等效维度中);覆盖所有维度(或维度的子集)的(高阶)多项式建模,诸如缩放、旋转等;和/或其它操作。
[0165]
在一些实施例中,参考潜在空间表示包括参数化模型的先前所接收的输入的加权组合、参数化模型的先前所接收的输入的潜在空间表示的平均值、来自被配置成产生给定输入的特定目标表征设备的输入的潜在空间表示、和/或其它潜在空间表示。例如,用户可以具有特定传感器和/或其它工具(例如“黄金工具”),用户认为特定传感器和/或其它工具一贯地为目标提供最准确和/或以其它方式最优的数据。参考潜在空间表示可以是来自所述传感器和/或其它工具的数据(例如图像和/或其它数据)的编码。
[0166]
借助于非限制性示例,给定输入可以包括图像(例如与半导体制造相关联或在半导体制造期间产生的任何图像)。该图像可以由参数化模型的编码器架构编码成表示潜在空间中的图像的低维向量。该向量的各种维度可以在潜在空间被转换,使得表示输入图像的向量匹配参考潜在空间表示(作为一个示例,其它变换也是可能的)。接着可以将经变换的向量解码成所预测的过程信息。
[0167]
在一些实施例中,所预测的过程信息包括预测的图像、预测的过程测量结果(例如指标值)和/或其它信息中的一个或更多个。在一些实施例中,所预测的过程测量结果包括以下项中的一个或更多个:量测指标、xyz位置、尺寸、电场、波长、照射和/或检测光瞳、带宽、照射和/或检测偏振角、照射和/或检测相位延迟角、和/或其它过程测量结果。
[0168]
在这个示例中,参数化模型可以具有带有概率性潜在空间的可变编码器-解码器架构,所述可变编码器-解码器架构在输出空间中产生实现。在一些实施例中,该潜在空间包括低维编码(例如如上文所描述的)。用现有数据(例如针对同一目标的来自不同的目标表征设备(例如传感器、工具等)的测量和/或模拟数据(例如图像、各种指标的值等)等)和/
或其它信息训练参数化模型。训练数据可以包括例如来自广泛范围的不同的目标表征设备但针对同一目标的不同数据。如上文所描述的,潜在空间中的维度数据由编码器-解码器架构的编码器编码。在一些实施例中,通过编码器-解码器架构的解码器产生来自参数化模型的预测和/或其它输出。
[0169]
此外,参数化模型的训练可以被扩展用于任何类型的测量(场、光瞳、位置、高度等)的(匹配)表征设备。也可以通过将目标表征设备常数(例如来自校准和/或硬件规格的机器常数)添加至训练数据来扩展参数化模型的训练。在这样的实施例中,潜在空间变换可以潜在地用于确定表征设备之间的偏差的根本原因。例如,可以将定位中的系统性偏移(诸如平台和/或光学部件的xyz位置)转换成重叠误差。
[0170]
作为另一示例,本方法和系统可以被配置成基于参数化模型的潜在空间(同样,在该潜在空间中,相较于来自不同实验性迭代的原始数据中的维度的数目,待分析的数据的维度更少)中的维度数据来确定与至参数化模型的给定输入相关联的最优的过程参数集合的潜在空间表示,以及基于最优的过程条件集合的潜在空间表示来预测过程信息。
[0171]
在一些实施例中,给定输入包括目标的被限定的设计参数、被限定的量测测量选配方案参数和/或其它被限定的信息中的一个或更多个。被限定的设计参数和/或所定义的量测测量选配方案参数可以是不可改变的,这是归因于例如设计要求(例如针对目标设计的一个或更多个特征的特定尺寸、形状、部位和/或其它要求)、机器能力、在制造过程期间使用的材料、科学限制(例如物理、化学等的定律)、目标设计的元件之间的所需关系、制造选配方案中的所需步骤、或其它输入。
[0172]
在一些实施例中,所预测的过程信息包括目标的设计参数、量测测量选配方案参数和/或其它参数中的一个或更多个。在一些实施例中,设计参数包括与目标相关联的临界尺寸、节距、分段节距、线几何形状、接触几何形状、孔几何形状和/或其它信息中的一个或更多个。在一些实施例中,量测测量选配方案参数包括以下中的一个或更多个:用于测量目标的波长、带宽、孔、照射和/或检测光瞳、带宽、照射和/或检测偏振角、照射和/或检测相位延迟角、剂量和/或其它选配方案参数。
[0173]
在一些实施例中,最优的过程参数集合限定用于测量目标的量测指标的最优测量条件。最优测量条件可以是例如针对给定目标用于测量特定量测指标的理想测量条件、和/或其它测量条件。在一些实施例中,最优的过程参数集合包括强度、对比度、边缘响应、衍射效率、重叠灵敏度和/或其它过程参数中的一个或更多个。借助于非限制性示例,每个过程参数可以具有理想工作范围:强度:在测量相机范围的中间;对比度:与周围特征的高对比度度;边缘响应:边缘处尽可能低的过调量;衍射效率:尽可能高(但与强度相关);重叠灵敏度:高于最小阈值,例如绝对灵敏度>0.2(在0至2的标尺上),并且也与强度相关。在给定这些约束的情况下,可以确定如何将这些参数投影回到测量条件上。这将在测量条件上给出概率分布,其中所述概率分布与最优过程参数最优地匹配。
[0174]
换句话说,用户可以将限定的目标设计或量测测量选配方案参数输入至参数化模型中。参数化模型可以被配置成使得存在限定输入的最优测量条件的最优的过程参数集合。参数化模型接着可以基于所述输入、最优的过程参数集合和/或其它信息来预测(确定)输入的一个或更多个(例如推荐)设计参数和/或量测选配方案参数。换句话说,该模型被配置成在给定由用户输入至模型中的限制的情况下(基于模型已被训练以知道关于所述输入
的最优的过程参数集合的内容)输出量测设备的最优可能目标设计(例如限定这种最优可能目标设计的参数)和/或最优可能测量设置(例如参数)。
[0175]
在这个示例中,参数化模型可以具有带有概率性潜在空间的可变编码器-解码器架构,所述可变编码器-解码器架构在输出空间中产生实现。在一些实施例中,潜在空间包括低维编码(例如,如上文所描述的)。用现有数据(例如测量和/或模拟目标设计、对应的已知测量条件和/或量测指标等)和/或其它信息训练参数化模型。如上文所描述的,潜在空间中的维度数据由编码器-解码器架构的编码器编码。在一些实施例中,通过编码器-解码器架构的解码器产生来自参数化模型的预测和/或其它输出。应注意,参数化模型可以被训练以用于预测和/或以其它方式确定任何目标设计和/或量测测量选配方案参数。例如,参数化模型可以用于重叠目标设计和/或测量选配方案参数、目标蚀刻后图像和/或测量选配方案参数、基于图像的重叠目标设计和/或测量选配方案参数、聚焦目标设计和/或测量选配方案参数、对准目标设计和/或测量选配方案参数,和/或其它目标和/或测量选配方案参数。
[0176]
如上文所描述的,可以训练一个参数化模型以预测复电场图像、变换来自不同传感器和/或工具的数据使得同一目标的数据匹配,以及确定最优目标设计和/或制造选配方案;或可以通过不同参数化模型进行这些不同操作。不同应用(预测复电场图像、变换来自不同传感器和/或工具的数据使得同一目标的来自不同传感器和/或工具的数据仍匹配,和确定最优目标设计和/或制造选配方案)可以一起使用,或它们可以分开使用。
[0177]
作为示例,本系统和方法可以被配置成预测过程信息,诸如使同一目标的来自不同传感器和/或工具的数据和最优目标设计和/或制造选配方案(例如本文中所描述的三个应用中的两者的组合)与单个参数化模型匹配。换句话说,参数化模型可以被配置成共同优化目标设计和测量选配方案参数。这可以包括在参数化模型的潜在空间中确定至参数化模型的给定输入的潜在空间表示(例如,如上文所描述的)。可以基于给定输入的参考潜在空间表示将给定输入的潜在空间表示变换成给定输入的经变换的潜在空间表示(例如,如上文所描述的)。
[0178]
可以基于给定输入的经变换的潜在空间表示来确定与给定输入相关联的最优的过程参数集合的潜在空间表示(例如,如上文所描述的),并且可以基于给定输入的经变换的潜在空间表示和与给定输入相关联的最优的过程参数集合的潜在空间表示来预测过程信息。
[0179]
作为另一示例,本系统和方法可以被配置成预测诸如复电场图像之类的过程信息,从而使同一目标的来自不同传感器和/或工具的数据和最优目标设计和/或制造选配方案(例如本文中所描述的所有三个应用的组合)与单个参数化模型匹配。这可以包括:在所述参数化模型的潜在空间中确定至参数化模型的给定输入的潜在空间表示(例如,如本文中所描述的);基于给定输入的参考潜在空间表示将给定输入的潜在空间表示变换成给定输入的经变换的潜在空间表示;基于给定输入的经变换的潜在空间表示来确定给定输入的电场图像的潜在空间表示;基于经变换的潜在空间表示来确定与给定输入相关联的最优的过程参数集合的潜在空间表示;基于给定输入的经变换的潜在空间表示、电场图像的潜在空间表示和与给定输入相关联的最优的过程参数集合的潜在空间表示来预测过程信息;和/或其它操作。
[0180]
应注意,虽然本文中的描述常常是指(单个)潜在空间,但不应将这种认为是限制性的。本文中所描述的原理可以用任何数目个潜在空间应用和/或应用于任何数目个潜在空间。例如,本文中所描述的系统、方法、(量测)装置、非暂时性计算机可读介质等可以被配置成使得基于参数化模型(或一个或更多个参数化模型)的一个或更多个潜在空间中的维度数据来确定电场图像的潜在空间表示是针对至参数化模型的给定输入而进行的。基于电场图像的潜在空间表示和或其它信息来确定电场图像。如上文所描述的,在一些实施例中,电场图像包括具有振幅和相位的复电场图像,并且给定输入包括与复电场图像相关联的所测量的振幅。确定电场图像的潜在空间表示包括最小化受电场图像的集合约束的函数,所述电场图像的集合可以由参数化模型基于一个或更多个潜在空间中的维度数据和给定输入来预测。
[0181]
一个或更多个潜在空间可以被串行(例如用于分析数据和/或进行第一预测,接着进行第二预测等)、并行(例如用于分析数据和/或同时进行预测)和/或以其它方式使用。有利地,参数化模型的分立的潜在空间可以相较于单个潜在空间更稳健。例如,分立的潜在空间可以聚焦于数据集的特定性质上,例如一个潜在空间用于恢复相位,另一潜在空间用于基于测量参数的分类等。一个组合后的潜在空间可以被配置成捕获所可能性,而在分立的潜在空间的情况下,每个单独的潜在空间可以被配置成(例如被训练以)聚焦于数据集的特定主题和/或方面。单独的潜在空间可能潜在地更简单,但在捕获信息方面更好(例如当相应地设置时)。
[0182]
在一些实施例中,一个或更多个潜在空间可以包括至少两个潜在空间、多个潜在空间和/或其它数量的潜在空间,其中单独的潜在空间对应于参数化模型的不同机制。参数化模型的不同机制可以包括编码机制(例如在图9中示出的91)、解码机制(例如在图9中示出的94)、复电场参数确定机制(例如确定在图9中示出的输入92和/或输入电场图像的其它特征的机制)、相位恢复机制(例如类似于在图9中示出的94和/或与在图9中示出的94相同)、和/或其它机制。在一些实施例中,所述不同机制可以对应于通过参数化模型(或一个或更多个参数化模型)进行的不同操作。借助于非限制性示例,在一些实施例中,多个潜在空间可以被并行使用,例如一个潜在空间用于图像编码和/或解码,另一潜在空间用于预测像差,另一潜在空间用于选配方案设置(例如预测或推荐过程设置点)等。对应于不同机制的单独的潜在空间可以相较于与多个机制相关联的单个潜在空间更稳健。
[0183]
在一些实施例中,单独的潜在空间可以与至参数化模型的给定输入的不同的独立参数和对应的维度数据相关联。对应于不同的独立参数的单独的潜在空间也可以相较于与多个参数相关联的单个潜在空间更稳健。例如,在一些实施例中,本系统和方法可以包括或利相位恢复(如本文中所描述的)的第一潜在空间,和处理与工具相关(即,归因于光学差异)的干扰的分立的第二潜在空间。第一潜在空间可以被配置成(例如被训练以)进行相位恢复,并且(与这种第一潜在空间无关)第二潜在空间可以被配置成(例如被训练以)处理由工具特定性质引起的图像差异。应注意,这仅是一个可能的示例,并且不意图是限制性的。预期到许多其它可能的示例。
[0184]
图10是图示可以进行和/或辅助实施本文中所公开的方法、流程、系统或设备的计算机系统100的框图。计算机系统100包括用于通信信息的总线102或其它通信机构,和与总线102耦接以用于处理信息的处理器104(或多个处理器104和105)。计算机系统100也包括
耦接至总线102以用于储存将要由处理器104执行的信息和指令的主存储器106,诸如随机存取存储器(ram)或其它动态储存装置。主存储器106也可以用于在将要由处理器104执行的指令的执行期间储存暂时性变量或其它中间信息。计算机系统100还包括耦接至总线102以用于储存用于处理器104的静态信息和指令的只读存储器(rom)108或其它静态储存装置。提供诸如磁盘或光盘之类的储存装置110,并且所述储存装置110耦接至总线102以用于储存信息和指令。
[0185]
计算机系统100可以由总线102耦接至用于向计算机用户显示信息的显示器112,诸如阴极射线管(crt)或平板显示器或触控面板显示器。包括字母数字按键和其它按键的输入装置114耦接至总线102以用于将信息和命令选择通信至处理器104。另一类型的用户输入装置是用于将方向信息和命令选择通信至处理器104且用于控制显示器112上的光标移动的光标控制件116,诸如鼠标、轨迹球或光标方向按键。这种输入装置通常具有在两个轴(第一轴(例如x)和第二轴(例如y))上的两个自由度,这允许所述器件指定平面中的位置。触控面板(屏幕)显示器也可以用作输入装置。
[0186]
根据一个实施例,本文中所描述的或多种方法的部分可以通过计算机系统100响应于处理器104执行主存储器106中所包含的一个或更多个指令的一个或更多个序列来进行。可以将这样的指令从另一计算机可读介质(诸如储存装置110)读取至主存储器106中。主存储器106中所包含的指令序列的执行使得处理器104进行本文中所描述的过程步骤。也可以采用呈多处理布置的一个或更多个处理器以执行主存储器106中所包含的指令序列。在替代性实施例中,可代替或结合软件指令来使用硬连线电路系统。因此,本文中的描述不限于硬件电路系统与软件的任何特定组合。
[0187]
如本文中所使用的术语“计算机可读介质”是指参与将指令提供至处理器104以用于执行的任何介质。这种介质可以呈许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如储存装置110。易失性介质包括易失存储器,诸如主存储器106。传输介质包括同轴线缆、铜线和光纤,包括包含总线102的电线。传输介质也可以呈声波或光波的形式,诸如在射频(rf)和红外(ir)数据通信期间产生的声波或光波。计算机可读介质的常见形式包括例如软磁盘、软性磁盘、硬盘、磁带、任何其它磁介质、cd-rom、dvd、任何其它光学介质、打孔卡、纸带、具有孔图案的任何其它实体介质、ram、prom和eprom、flash-eprom、任何其它存储器芯片或卡匣、如下文所描述的载波,或可以用于计算机读取的任何其它介质。
[0188]
各种形式的计算机可读介质可以涉及将一个或更多个指令的一个或更多个序列承载至处理器104以用于执行。例如,可以初始地将所述指令承载于远程计算机的磁盘上。远程计算机可以将指令加载至其易失存储器中,并且使用调制解调器经由电话线来发送指令。在计算机系统100本地的调制解调器可以接收电话线上的数据,并且使用红外传输器将数据转换为红外信号。耦接至总线102的红外检测器可以接收红外信号中所承载的数据且将数据放置于总线102上。总线102将数据承载至主存储器106,处理器104从所述主存储器106检索且执行指令。由主存储器106所接收的指令可以可选地在由处理器104执行之前或之后储存在储存装置110上。
[0189]
计算机系统100也可以包括耦接至总线102的通信接口118。通信接口118提供耦接至网络链路120的双向数据通信,所述网络链路120连接至局域网122。例如,通信接口118可
以是综合业务数字网(isdn)卡或调制解调器以提供与对应类型的电话线的数据通信连接。作为另一示例,通信接口118可以是局域网(lan)卡以提供与兼容lan的数据通信连接。也可以实施无线链路。在任何这种实施中,通信接口118发送和接收承载表示各种类型的信息的数字数据流的电信号、电磁信号或光学信号。
[0190]
网络链路120通常经由一个或更多个网络将数据通信提供至其它数据装置。例如,网络链路120可以由局域网122向主计算机124或向由因特网服务提供商(isp)126操作的数据装备提供连接。isp126又经由全球封包数据通信网络(现在通常称为“因特网”128)来提供数据通信服务。局域网122和因特网128均使用承载数字数据流的电信号、电磁信号或光学信号。经由各种网络的信号和在网络链路120上且经由通信接口118的信号为输送信息的示例性形式的载波,所述信号将数字数据承载至计算机系统100且从计算机系统100承载数字数据。
[0191]
计算机系统100可以由网络、网络链路120和通信接口118发送消息和接收数据,包括程序代码。在因特网示例中,服务器130可以由因特网128、isp 126、局域网122和通信接口118传输用于应用程序的所请求的代码。例如,一个这种被下载的应用程序可以提供本文中所描述的方法的所有或部分。所接收的程序代码可以在其被接收时由处理器104执行,和/或储存在储存装置110或其它非易失性储存器中以用于稍后执行。以这种方式,计算机系统100可以获得呈载波形式的应用代码。
[0192]
图11为图1中示出的光刻投影设备la的替代性设计的详细视图。(图1涉及duv辐射,这是由于使用透镜且使用透明掩模版,而图11涉及使用euv辐射的光刻设备,这是由于使用反射镜和反射掩模版。)如图11中示出的,光刻投影设备可以包括源so、照射系统il和投影系统ps。源so被配置成使得可以将真空环境维持于源so的围封结构220中。可以由放电产生等离子体源形成euv(例如)辐射发射等离子体210。可以由气体或蒸汽(例如xe气体、li蒸汽或sn蒸汽)产生euv辐射,在该气体或蒸汽中产生等离子体210以发射在电磁光谱的euv范围内的辐射。例如,通过造成至少部分地离子化的等离子体的放电来产生等离子体210。为了高效地产生辐射,可能需要例如分压为10pa的xe、li、sn蒸汽或任何其它合适的气体或蒸汽。在一些实施例中,提供被激发的锡(sn)的等离子体以产生euv辐射。
[0193]
由等离子体210发射的辐射经由位于源腔室211中的开口中或后方的可选地存在的气体屏障或污染物陷阱230(在一些情况下也称为污染物屏障或箔陷阱)而被从源腔室211传递至收集器腔室212中。污染物陷阱230可以包括通道结构。腔室211可以包括辐射收集器co,所述辐射收集器co可以是例如掠入射收集器。辐射收集器co具有上游辐射收集器侧251和下游辐射收集器侧252。横穿收集器co的辐射可以从光栅滤波器240反射以沿由线“o”指示的光轴而聚焦于虚拟源点if中。虚拟源点if通常称为中间焦点,并且源被布置成使得中间焦点if位于围封结构220中的开口221处或附近。虚拟源点if为辐射发射等离子体210的图像。
[0194]
随后,辐射横穿照射系统il,所述照射系统il可以包括琢面场反射镜装置22和琢面光瞳反射镜装置24,其被布置成使辐射束21在图案形成装置ma处具有期望的角分布,以及在图案形成装置ma处提供辐射强度的期望的均一性。在由支撑结构(台)t保持的图案形成装置ma处反射辐射束21后,形成被图案化的束26,并且被图案化的束26通过投影系统ps经由反射元件28、30成像至由衬底台wt保持的衬底w上。比所示出元件更多的元件通常可以
存在于照射光学器件单元il和投影系统ps中。依赖于例如光刻设备的类型,可以可选地存在光栅滤波器240。另外,可以存在比各图中示出的反射镜更多的反射镜,例如在投影系统ps中可以存在比图11中示出的反射元件多1至6个的额外的反射元件。
[0195]
如图11中所图示的收集器光学器件co被描绘为是具有掠入射反射器253、254和255的巢状收集器,仅作为收集器(或收集器反射镜)的示例。掠入射反射器253、254和255被设置为围绕光轴o轴向对称,并且这种类型的收集器光学器件co可以与常常称为dpp源的放电产生等离子体源组合使用。
[0196]
在以下被编号的方面的后续列表中公开另外的实施例:
[0197]
1.一种用于确定用于半导体制造过程的一个或更多个量测指标的方法,所述方法包括:
[0198]
基于参数化模型的潜在空间中的维度数据来确定给定输入的电场图像的潜在空间表示;
[0199]
用所述参数化模型基于所述电场图像的所述潜在空间表示来预测所述电场图像;以及
[0200]
基于所预测的电场图像来确定用于所述半导体制造过程的所述一个或更多个量测指标。
[0201]
2.根据方面1所述的方法,其中,所述电场图像包括具有振幅和相位的复电场图像。
[0202]
3.根据方面1至2中任一项所述的方法,其中,所确定的所述一个或更多个量测指标包括以下项中的一个或更多个:重叠、临界尺寸、衬底的特征的三维轮廓的重构、或在用光刻设备印制衬底的特征时光刻设备的剂量或焦距。
[0203]
4.根据方面1至3中任一项所述的方法,其中,所述电场图像包括复电场图像,并且其中,所述给定输入包括与所述复电场图像相关联的测量的振幅。
[0204]
5.根据方面4所述的方法,其中,所述振幅包括强度。
[0205]
6.根据方面1至5中任一项所述的方法,还包括:基于所确定的所述一个或更多个量测指标来调整一个或更多个半导体制造过程参数。
[0206]
7.一种用于用参数化模型预测电场图像的方法,所述方法包括:
[0207]
基于所述参数化模型的潜在空间中的维度数据,确定至所述参数化模型的给定输入的电场图像的潜在空间表示;和
[0208]
基于所述电场图像的所述潜在空间表示来预测所述电场图像。
[0209]
8.根据方面7所述的方法,其中,所述电场图像包括具有振幅和相位的复电场图像。
[0210]
9.根据方面8所述的方法,其中,给定输入包括与复电场图像相关联的测量的振幅。
[0211]
10.根据方面9所述的方法,其中,所述振幅包括强度。
[0212]
11.根据方面7至10中任一项所述的方法,其中,确定所述电场图像的所述潜在空间表示:包括最小化受电场图像的集合约束的函数,能够由所述参数化模型基于所述潜在空间中的所述维度数据和所述给定输入来预测所述电场图像的集合。
[0213]
12.根据方面11所述的方法,其中,所述电场图像的所述潜在空间表示包括张量。
[0214]
13.根据方面7至12中任一项所述的方法,其中,所述参数化模型为机器学习模型。
[0215]
14.根据方面7至13中任一项所述的方法,其中,所述参数化模型包括编码器-解码器架构。
[0216]
15.根据方面14所述的方法,其中,所述编码器-解码器架构包括可变编码器-解码器架构,所述方法还包括:用概率性潜在空间训练所述可变编码器-解码器架构,所述可变编码器-解码器架构在输出空间中产生实现。
[0217]
16.根据方面15所述的方法,其中,所述潜在空间包括低维编码。
[0218]
17.根据方面14至16中任一项所述的方法,其中,所述潜在空间中的所述维度数据由所述编码器-解码器架构的编码器编码。
[0219]
18.根据方面14至17中任一项所述的方法,还包括:用复电场图像的训练集合训练所述参数化模型。
[0220]
19.根据方面18所述的方法,其中,基于离焦测量、和可选地复电场图像重构算法,产生所述复电场图像的集合。
[0221]
20.根据方面18或19所述的方法,其中,所述训练包括:
[0222]
将所述训练集合中的所述复电场图像编码成所述潜在空间中的所述维度数据,以及
[0223]
将所述潜在空间中的所述维度数据变换成所述训练集合中的所述复电场图像的复原后的版本以便于对所述训练的校验。
[0224]
21.根据方面20所述的方法,还包括:迭代地提供额外的复电场图像作为所述参数化模型的输入,所述额外的复电场图像是基于所述复电场图像的所述复原后的版本与所述训练集合中的所述复电场图像匹配的程度来被确定的。
[0225]
22.根据方面16至21中任一项所述的方法,还包括:用所述编码器将与所述电场图像相关联的较高维度数据编码成所述潜在空间中的所述维度数据。
[0226]
23.根据方面14至22中任一项所述的方法,其中,基于所述电场图像的所述潜在空间表示来预测所述电场图像包括:将所述电场图像的所述潜在空间表示传递通过所述编码器-解码器架构的解码器。
[0227]
24.根据方面7至23中任一项所述的方法,还包括:基于电场图像的潜在空间表示来确定量测指标。
[0228]
25.根据方面24所述的方法,其中,基于所述电场图像的所述潜在空间表示来确定所述量测指标包括:将所述电场图像的所述潜在空间表示提供给回归网络,所述回归网络被包括在所述参数化模型中或与所述参数化模型分离。
[0229]
26.根据方面24或25所述的方法,其中,所述量测指标为重叠。
[0230]
27.根据方面7至26中任一项所述的方法,还包括:基于所述电场图像的所述潜在空间表示和/或所预测的电场图像来校正与量测设备相关联的像差。
[0231]
28.根据方面7至27中任一项所述的方法,还包括:基于所预测的电场图像来确定对用于将衬底几何形状图案化的半导体制造过程参数的调整,将衬底几何形状图案化作为半导体制造过程的一个部分。
[0232]
29.一种非暂时性计算机可读介质,在所述非暂时性计算机可读介质上具有指令,所述指令在由计算机执行时实施根据方面1至28中任一项所述的方法。
[0233]
30.一种量测设备,所述量测设备被配置成确定半导体制造过程的一个或更多个量测指标,所述量测设备包括一个或更多个处理器,所述一个或更多个处理器被配置成:
[0234]
基于参数化模型的潜在空间中的维度数据来确定给定输入的电场图像的潜在空间表示;
[0235]
用所述参数化模型基于所述电场图像的所述潜在空间表示来预测所述电场图像;以及
[0236]
基于所预测的电场图像来确定所述半导体制造过程的所述一个或更多个量测指标。
[0237]
31.一种包括量测设备的光刻单元,所述量测设备被配置成:
[0238]
基于参数化模型的潜在空间中的维度数据来确定给定输入的电场图像的潜在空间表示;
[0239]
用所述参数化模型基于所述电场图像的所述潜在空间表示来预测所述电场图像;以及
[0240]
基于所预测的电场图像来确定所述半导体制造过程的所述一个或更多个量测指标。
[0241]
32.一种用于用参数化模型预测过程信息的方法,包括:
[0242]
在参数化模型的潜在空间中,确定至参数化模型的给定输入的潜在空间表示;
[0243]
基于所述给定输入的参考潜在空间表示将所述给定输入的潜在空间表示变换成所述给定输入的经变换的潜在空间表示;以及
[0244]
基于所述给定输入的经变换的潜在空间表示来预测过程信息。
[0245]
33.根据方面32所述的方法,其中,所述给定输入与目标相关联,并且是从被配置成产生所述给定输入的多个目标表征设备中的一个目标表征设备接收到的;并且
[0246]
其中,所述变换和预测被配置成使得针对目标的预测的过程信息是相同的,与目标表征设备中的哪一个产生所述给定输入无关。
[0247]
34.根据方面32或33所述的方法,其中,所述变换包括对所述给定输入的潜在空间表示进行的一种或更多种数学计算。
[0248]
35.根据方面32至34中任一项所述的方法,其中,在所述潜在空间中进行所述变换。
[0249]
36.根据方面32至35中任一项所述的方法,其中,所述参考潜在空间表示包括至所述参数化模型的先前所接收的输入的潜在空间表示的加权组合和/或平均值,或来自被配置成产生所述给定输入的特定目标表征设备的输入的潜在空间表示。
[0250]
37.根据方面32至36中任一项所述的方法,其中,所述过程信息和所述给定输入与半导体制造过程相关联。
[0251]
38.根据方面32至37中任一项所述的方法,其中,所预测的过程信息包括预测的图像或预测的过程测量结果中的一种或更多种。
[0252]
39.根据方面37所述的方法,其中,所预测的过程测量包括以下项中的一个或更多个:量测指标、xyz位置、尺寸、电场、波长、照射和/或检测光瞳、带宽、照射和/或检测偏振角,或照射和/或检测相位延迟角。
[0253]
40.根据方面32至39中任一项所述的方法,其中,所述给定输入包括输入图像或输
入过程测量结果中的一种或更多种。
[0254]
41.一种用于用参数化模型预测过程信息的方法,包括:
[0255]
在所述参数化模型的潜在空间中,基于维度数据确定与至所述参数化模型的给定输入相关联的最优的过程参数集合的潜在空间表示;和
[0256]
基于最优的过程条件集合的所述潜在空间表示来预测所述过程信息。
[0257]
42.根据方面41所述的方法,其中,所预测的过程信息包括针对目标的设计参数或量测测量选配方案参数中的一种或更多种。
[0258]
43.根据方面42所述的方法,其中,所述设计参数包括与所述目标相关联的临界尺寸、节距、分段节距、线几何形状、接触几何形状或孔几何形状中的一个或更多个。
[0259]
44.根据方面42所述的方法,其中,量测测量选配方案参数包括以下项中的一个或更多个:用于测量所述目标的波长、带宽、孔、照射和/或检测光瞳、带宽、照射和/或检测偏振角、照射和/或检测相位延迟角、或剂量。
[0260]
45.根据方面41至44中任一项所述的方法,其中,最优的过程参数集合限定用于测量所述目标的量测指标的最优测量条件。
[0261]
46.根据方面45所述的方法,其中,最优的过程参数集合包括强度、对比度、边缘响应、衍射效率或重叠灵敏度中的一个或更多个。
[0262]
47.根据方面41至46中任一项所述的方法,其中,所述给定输入包括针对所述目标的定义的设计参数或定义的量测测量选配方案参数中的一种或更多种。
[0263]
48.根据方面32至47中任一项所述的方法,其中,所述参数化模型为机器学习模型。
[0264]
49.根据方面42至48中任一项所述的方法,其中,所述参数化模型包括编码器-解码器架构。
[0265]
50.根据方面32至49中任一项所述的方法,其中,所述潜在空间包括低维编码。
[0266]
51.一种用于用参数化模型预测过程信息的方法,包括:
[0267]
在参数化模型的潜在空间中确定至参数化模型的给定输入的潜在空间表示;
[0268]
基于所述给定输入的参考潜在空间表示,将所述给定输入的潜在空间表示变换成所述给定输入的经变换的潜在空间表示;
[0269]
基于所述经变换的潜在空间表示,确定与所述给定输入相关联的最优的过程参数集合的潜在空间表示;以及
[0270]
基于所述给定输入的所述经变换的潜在空间表示和与所述给定输入相关联的所述最优的过程参数集合的所述潜在空间表示来预测所述过程信息。
[0271]
52.一种用于用参数化模型预测过程信息的方法,包括:
[0272]
在参数化模型的潜在空间中确定至参数化模型的给定输入的潜在空间表示;
[0273]
基于所述给定输入的参考潜在空间表示,将所述给定输入的潜在空间表示变换成所述给定输入的经变换的潜在空间表示;
[0274]
基于所述给定输入的经变换的潜在空间表示,确定所述给定输入的电场图像的潜在空间表示;
[0275]
基于所述经变换的潜在空间表示,确定与所述给定输入相关联的最优的过程参数集合的潜在空间表示;以及
[0276]
基于所述给定输入的所述经变换的潜在空间表示、所述电场图像的所述潜在空间表示和与所述给定输入相关联的所述最优的过程参数集合的所述潜在空间表示,预测所述过程信息。
[0277]
53.一种非暂时性计算机可读介质,在非暂时性计算机可读介质上具有指令,所述指令在由计算机执行时实施根据方面32至52中任一项所述的方法。
[0278]
54.一种非暂时性计算机可读介质,在非暂时性计算机可读介质上具有指令,所述指令在由计算机执行时使所述计算机:
[0279]
基于参数化模型的一个或更多个潜在空间中的维度数据,确定至参数化模型的给定输入的电场图像的潜在空间表示;和
[0280]
基于所述电场图像的所述潜在空间表示来预测所述电场图像。
[0281]
55.根据方面54所述的非暂时性计算机可读介质,其中,所述一个或更多个潜在空间包括至少两个潜在空间。
[0282]
56.根据方面54或55中任一项所述的非暂时性计算机可读介质,
[0283]
其中,所述一个或更多个潜在空间包括多个潜在空间,其中,所述多个潜在空间中的单独的潜在空间对应于所述参数化模型的不同机制。
[0284]
57.根据方面56所述的非暂时性计算机可读介质,其中,所述参数化模型的所述不同机制包括编码机制、解码机制、复电场参数确定机制,和/或相位恢复机制。
[0285]
58.根据方面54至57中任一项所述的非暂时性计算机可读介质,其中,所述一个或更多个潜在空间包括与至所述参数化模型的所述给定输入的不同的独立参数和对应的维度数据相关联的至少两个潜在空间。
[0286]
59.根据方面54至58中任一项所述的非暂时性计算机可读介质,其中,所述电场图像包括具有振幅和相位的复电场图像,并且所述给定输入包括与所述复电场图像相关联的测量的振幅。
[0287]
60.根据方面54至59中任一项所述的非暂时性计算机可读介质,其中,确定所述电场图像的所述潜在空间表示包括:最小化受电场图像的集合约束的函数,所述电场图像的集合是能够通过所述参数化模型基于所述一个或更多个潜在空间中的所述维度数据和所述给定输入来被预测的。
[0288]
61.一种用于用参数化模型预测电场图像的方法,所述方法包括:
[0289]
基于所述参数化模型的一个或更多个潜在空间中的维度数据,来确定至所述参数化模型的给定输入的电场图像的潜在空间表示;和
[0290]
基于所述电场图像的所述潜在空间表示来预测所述电场图像。
[0291]
62.根据方面61所述的方法,其中所述一个或更多个潜在空间包括至少两个潜在空间。
[0292]
63.根据方面61或62中任一项所述的方法,其中,所述一个或更多个潜在空间包括多个潜在空间,其中所述多个潜在空间中的单独的潜在空间对应于所述参数化模型的不同机制。
[0293]
64.根据方面63所述的方法,其中,所述参数化模型的所述不同机制包括编码机制、解码机制、复电场参数确定机制、和/或相位恢复机制。
[0294]
65.根据方面61至64中任一项所述的方法,其中,所述一个或更多个潜在空间包括
与至所述参数化模型的所述给定输入的不同的独立参数和对应维度数据相关联的至少两个潜在空间。
[0295]
66.根据方面61至65中任一项所述的方法,其中,所述电场图像包括具有振幅和相位的复电场图像,并且所述给定输入包括与所述复电场图像相关联的测量的振幅。
[0296]
67.根据方面61至66中任一项所述的方法,其中,确定所述电场图像的所述潜在空间表示包括:最小化受电场图像的集合约束的函数,能够由所述参数化模型基于所述一个或更多个潜在空间中的所述维度数据和所述给定输入来预测所述电场图像的集合。
[0297]
68.一种量测设备,所述量测设备包括一个或更多个处理器,所述处理器被配置成:
[0298]
基于参数化模型的一个或更多个潜在空间中的维度数据,确定至所述参数化模型的给定输入的电场图像的潜在空间表示;和
[0299]
基于所述电场图像的所述潜在空间表示来预测所述电场图像。
[0300]
69.根据方面68所述的量测设备,其中,所述一个或更多个潜在空间包括至少两个潜在空间。
[0301]
70.根据方面68或69中任一项所述的量测设备,其中,所述一个或更多个潜在空间包括多个潜在空间,其中所述多个潜在空间中的单独的潜在空间对应于所述参数化模型的不同机制。
[0302]
71.根据方面70所述的量测设备,其中所述参数化模型的所述不同机制包括编码机制、解码机制、复电场参数确定机制,和/或相位恢复机制。
[0303]
72.根据方面68至71中任一项所述的量测设备,其中,所述一个或更多个潜在空间包括与至所述参数化模型的所述给定输入的不同的独立参数和对应维度数据相关联的至少两个潜在空间。
[0304]
73.根据方面68至72中任一项所述的量测设备,其中,所述电场图像包括具有振幅和相位的复电场图像,并且所述给定输入包括与所述复电场图像相关联的所测量的振幅。
[0305]
74.根据方面68至73中任一项所述的量测设备,其中,确定所述电场图像的所述潜在空间表示包括最小化受电场图像的集合约束的函数,所述电场图像集合是能够通过所述参数化模型基于所述一个或更多个潜在空间中的所述维度数据和所述给定输入来被预测的。
[0306]
75.一种用于使用机器学习算法来确定半导体制造过程的一个或更多个量测指标的方法,包括:
[0307]
使用控制电路接收与未知电场图像相关联的特征向量,其中所述特征向量表示与电场图像的潜在空间表示相对应的值;
[0308]
使用所述控制电路将特征向量输入至机器学习模型中,其中所述机器学习模型包括生成式分类器,所述生成式分类器用于基于与电场图像的潜在空间表示相对应的被标记的特征向量来识别已知电场图像,其中所述已知电场图像为所述电场图像的潜在空间表示的较高维表示;
[0309]
使用所述控制电路从机器学习模型接收第一预测,其中第一预测指示第一特征向量是否对应于所述已知电场图像;以及
[0310]
响应于第一预测指示第一特征向量对应于所述已知电场图像,产生针对与用于与
所述已知电场图像相对应的半导体制造过程的量测指标的推荐,以用于在用户接口上显示该推荐。
[0311]
本文中所公开的概念可以对用于使子波长特征成像的任何通用成像系统进行模拟或数学建模,并且尤其可以用于能够产生越来越短波长的新兴成像技术。已在使用中的新兴技术包括能够通过使用arf激光器来产生193nm波长且甚至能够通过使用氟激光器来产生157nm波长的极紫外(euv)、duv光刻。此外,euv光刻能够通过使用同步加速器或通过用高能电子来射到材料(固体或等离子体)以产生在20nm至5nm范围内的波长,以便产生这种范围内的光子。
[0312]
虽然本文中所公开的概念可以用于在诸如硅晶片之类的衬底上成像,但应理解,所公开的概念可以与任何类型的光刻成像系统一起使用,例如用于在除硅晶片以外的衬底上成像的光刻成像系统,和/或量测系统。此外,所公开的元件的组合和子组合可以包括分立的实施例。例如,预测复电场图像和确定诸如重叠之类的量测指标可以通过相同参数化模型和/或不同参数化模型进行。这些特征可以包括分立的实施例,和/或这些特征可以在同实施例中一起使用。
[0313]
虽然可以在本文中具体地参考在量测设备的情境下的本发明的实施例,但本发明的实施例可以用于其它设备中。本发明的实施例可以形成掩模检查设备、光刻设备或测量或处理诸如晶片(或其它衬底)或掩模(或其它图案形成装置)之类的对象的任何设备的部分。这些设备通常可以被称为光刻工具。这种光刻工具可以使用真空条件或环境(非真空)条件。
[0314]
虽然上文可能已具体地参考在光学光刻术的情境下对本发明的实施例的使用,但应了解,在情境允许的情况下,本发明不限于光学光刻术,并且可以用于其它应用(例如压印光刻术)中。虽然上文已描述本发明的特定实施例,但应了解,可以与所描述的不同的其它方式来实践本发明。以上描述意图是说明性的,而不是限制性的。因此,本领域技术人员将明白,可以在不背离下文所阐述的权利要求的范围的情况下对所描述的本发明进行修改。
当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1