一种星载监控装置的制作方法

文档序号:18211301发布日期:2019-07-19 22:19阅读:547来源:国知局
一种星载监控装置的制作方法

本发明涉及星载相控阵雷达技术领域,具体涉及一种星载监控装置。



背景技术:

监控系统是星载电子载荷系统(如探测雷达、通信接入终端等)的重要组成部分,主要完成卫星平台控制指令解析、传输和控制功能。具体地讲,监控负责与卫星管理平台通信,接收平台发过来的控制指令进行解析和转发,对下一级任务单元进行控制,并负责将各单元的bit状态、高度数据、微波遥感数据等信息回传给卫星管理平台。受地球辐射带、太阳宇宙线、银河宇宙线等空间电磁辐射影响,星载监控系统面临电离总剂量效应(totalionizingdose,tid)、单粒子效应(singleeventeffect,see)、表面充放电效应等问题,每种效应对监控系统元器件的性能都有不同程度的损伤,严重时会引起卫星载荷在轨工作异常或失效。而航天产品在轨期间,几乎不具备可维修性,所以特殊的使用环境使得现有常规地面或机载监控系统已无法满足航天产品的要求。

鉴于上述缺陷,本发明创作者经过长时间的研究和实践终于获得了本发明。



技术实现要素:

为解决上述技术缺陷,本发明采用的技术方案在于,提供一种星载监控装置,包括抗辐照mcu处理器以及分别与所述mcu处理器电性连接的flash存储器、sram存储器a、edac存储器和fpga控制器,分别与所述fpga控制器电性连接的接口电路、sram存储器b、刷新电路,以及电源模块。所述接口电路包括1553b接口、lvds发送接口、rs422接收接口。所述电源模块用以对各个存储器、接口、处理器、控制器进行供电;

所述fpga控制器通过所述1553b接口与卫星管理平台的1553b通讯,所述fpga控制器通过所述rs422接收接口与卫星管理平台的rs422通讯,所述fpga控制器通过所述lvds发送接口与卫星管理平台的lvds通讯,所述sram存储器b用于存储来自下一级数字收发单元的原始回波数据;

所述flash存储器用于存储操作系统、应用程序和中间数据,所述sram存储器a用于暂存程序运行中的数据,掉电以后暂存的数据会丢失,所述edac存储器用于存储操作的错误检测和纠正edac的校验码;所述刷新电路用于检测所述fpga控制器的done信号。

较佳的,所述抗辐照mcu处理器为bm3803fmg芯片,所述flash存储器为3df064m16vs1281芯片,所述sram存储器a为b8cr512k32rh芯片,所述edac存储器为b8r512k8rh芯片,所述fpga控制器为bqr2v3000芯片;所述sram存储器b为3dsr32m32vs8504芯片。

较佳的,所述抗辐照mcu处理器通过地址总线addr2~addr23、数据总线data0~data31、flash片选控制线romsn0、flash写控制线romwriten、flash读控制线romoen与所述flash存储器上的对应引脚相连,实现32位宽8m字节程序的存取。

较佳的,所述抗辐照mcu处理器通过地址总线addr2~addr20、数据总线data0~data31、sram写控制线rwen0、sram片选控制线ramsn0、sram读控制线ramoen0与所述sram存储器a上的对应引脚相连,实现32位宽2m字节数据的存取。

较佳的,所述抗辐照mcu处理器通过地址总线addr2~addr20、数据总线pdata0~pdata6、sram写控制线rwen0、sram片选控制线ramsn0、sram读控制线ramoen0与所述edac存储器上的对应引脚相连,实现8位宽512k字节校验数据的存取。

较佳的,所述抗辐照mcu处理器通过地址总线addr2~addr27、数据总线data0~data31、io写控制线writen、io片选控制线iosn、io读控制线oen、控制线pio4、控制线pio5与所述fpga控制器上的对应引脚相连,实现与所述fpga控制器的通信和控制。

较佳的,所述fpga控制器通过地址总线f_addr0~f_addr16、数据总线f_data0~f_data31、sram片选控制总线sramcs0、读控制线sramoe0、写控制线sramwe0与所述sram存储器b上的对应引脚相连,实现32位宽4m字节数据的存取。

较佳的,所述fpga控制器通过串行数据线d0、串行时钟线cclk、控制线prog、控制线done、控制线init以及jtag口与所述刷新电路上的对应引脚相连,实现对所述fpga控制器内部配置寄存器的定时刷新。

较佳的,所述fpga控制器通过电平转换电路与所述1553b接口连接,所述1553b接口采用1553b总线控制器jkr65170s6;所述电平转换电路中采用的电平转换芯片型号为b54acs164245s;所述fpga控制器通过地址总线f_a0~f_a16、数据总线f_d0~f_d15、读写写控制线f_rd、寄存器传送使能信号f_select、寄存器传送使能信号f_strbd、复位信号f_mstclr、地址配置信号f_rtad[0..4]、存储器选择信号f_mem/reg、中断请求信号f_int经所述电平转换电路后与所述1553b总线对应引脚相连。

较佳的,所述fpga控制器通过4路数据线与所述lvds发送接口的发送驱动芯片对应引脚相连,实现4路lvds通信接口功能,所述发送驱动芯片型号为b54lvds031lv;所述fpga控制器通过4路数据线与所述rs422接收接口的接收驱动芯片对应引脚相连,实现4路rs422通信接口功能,所述接收驱动芯片型号为b26lv32terh。

与现有技术比较本发明的有益效果在于:1,本发明除了所有器件均为高等级抗辐照器件外,mcu和fpga还分别通过sram存储器校验加固设计和配置存储器刷新加固设计,进一步提高本发明监控装置的可靠性;2,本发明除了大容量的flash和sram存储器b之外,其他均为国产元器件,国产化率达到90%以上,减少对国外电子元器件特别是核心器件的依赖,将元器件国产化率提高;3,本发明一方面包括1553b、lvds、rs422等常用星载监控接口电路,另一方面mcu处理速率达到100mhz,fpga内部逻辑资源达到300万门,可以满足现在及将来一定时期星载监控设计要求,具有极佳的通用性能。

附图说明

图1为本发明所述星载监控装置的电路连接视图;

图2为所述抗辐照mcu处理器和所述flash存储器的连接示意图;

图3为所述抗辐照mcu处理器和所述sram存储器a的连接示意图;

图4为所述抗辐照mcu处理器和所述edac存储器的连接示意图;

图5为所述抗辐照mcu处理器和所述fpga控制器的连接示意图;

图6为所述fpga控制器和所述sram存储器b的连接示意图;

图7为所述fpga控制器和所述刷新电路的连接示意图;

图8为所述fpga控制器和所述1553b接口的连接示意图;

图9为所述fpga控制器和所述lvds发送接口的连接示意图;

图10为所述fpga控制器和所述rs422接收接口的连接示意图。

具体实施方式

以下结合附图,对本发明上述的和另外的技术特征和优点作更详细的说明。

如图1所示,图1为本发明所述星载监控装置的电路连接视图;本发明所述星载监控装置包括抗辐照mcu处理器以及分别与所述mcu处理器电性连接的flash存储器、sram存储器a、edac存储器和fpca控制器,分别与所述fpca控制器电性连接的接口电路、sram存储器b、刷新电路,以及电源模块。所述接口电路包括1553b接口、lvds发送接口、rs422接收接口。所述电源模块用以对各个存储器、接口、处理器、控制器进行供电。

所述fpga控制器作为所述抗辐照mcu处理器的扩展接口,所述fpga控制器通过所述1553b接口与卫星管理平台的1553b通讯,接收平台的控制参数等命令及传送工作状态参数给卫星管理平台;所述fpga控制器通过所述rs422接收接口与卫星管理平台的rs422通讯,接收卫星管理平台的秒脉冲等低速信号;所述fpga控制器通过所述lvds发送接口与卫星管理平台的lvds通讯,传送处理后的大容量数据给卫星管理平台,所述sram存储器b用于存储来自下一级数字收发单元的原始回波数据。

所述flash存储器用于存储操作系统、应用程序和中间数据,所述sram存储器a用于暂存程序运行中的数据,掉电以后暂存的数据会丢失,所述edac存储器用于存储操作的错误检测和纠正edac的校验码。

本实施例的所述抗辐照mcu处理器为1片bm3803fmg芯片,所述flash存储器为2片3df064m16vs1281芯片,所述sram存储器a为1片b8cr512k32rh芯片,所述edac存储器为1片b8r512k8rh芯片,所述fpga控制器为1片bqr2v3000芯片;所述sram存储器b为1片3dsr32m32vs8504芯片。

所述bm3803fmg芯片是一款国产抗辐射32位sparcv8架构的处理器。bm3803fmg具有很好的抗辐照性能,其抗总剂量能力大于100krad(si),抗单粒子翻转(seu)优于3e-5错误/器件.天,抗单粒子闩锁优于75mev/cm2/mg;芯片内部还带有64位的浮点运算部件,并且支持内存操作的edac(errordetectandcorrect错误检测和纠正)功能,能对32位数据线进行纠一位错和检二位错,性能远高于8086/87、80c32、i386ex、tsc695等可在星载辐照环境中应用的处理器,能够满足各种航天应用的功能以及性能指标要求。bm3803fmg内部没有程序存储器和数据存储器,所以需要外围配置合适容量的程序存储器和数据存储器。

如图2所示,图2为所述抗辐照mcu处理器和所述flash存储器的连接示意图;所述抗辐照mcu处理器通过地址总线addr2~addr23、数据总线data0~data31、flash片选控制线romsn0、flash写控制线romwriten、flash读控制线romoen与所述flash存储器上的对应引脚相连,实现32位宽8m字节程序的存取。

如图3所示,图3为所述抗辐照mcu处理器和所述sram存储器a的连接示意图;所述抗辐照mcu处理器通过地址总线addr2~addr20、数据总线data0~data31、sram写控制线rwen0、sram片选控制线ramsn0、sram读控制线ramoen0与所述sram存储器a上的对应引脚相连,实现32位宽2m字节数据的存取。

如图4所示,图4为所述抗辐照mcu处理器和所述edac存储器的连接示意图;所述抗辐照mcu处理器通过地址总线addr2~addr20、数据总线pdata0~pdata6、sram写控制线rwen0、sram片选控制线ramsn0、sram读控制线ramoen0与所述edac存储器上的对应引脚相连,实现8位宽512k字节校验数据的存取。

为扩展接口,满足大容量数据传输的需要,本发明将所述fpga控制器作为所述mcu控制器的io扩展资源并进行通信控制。所述fpga控制器选用的是国产耐辐射的高性能、高可靠性的sram型fpga-bqr2v3000,该fpga完全兼容xilinxvirtex-ii抗辐照系列的xqr2v3000,抗总剂量能力大于100krad(si),抗单粒子闩锁优于75mev/cm2/mg,系统性能达到300mhz。

如图5所示,图5为所述抗辐照mcu处理器和所述fpga控制器的连接示意图;所述抗辐照mcu处理器通过地址总线addr2~addr27、数据总线data0~data31、io写控制线writen、io片选控制线iosn、io读控制线oen、控制线pio4、控制线pio5与所述fpga控制器上的对应引脚相连,实现与所述fpga控制器的通信和控制。

如图6所示,图6为所述fpga控制器和所述sram存储器b的连接示意图;所述fpga控制器通过地址总线f_addr0~f_addr16、数据总线f_data0~f_data31、sram片选控制总线sramcs0、读控制线sramoe0、写控制线sramwe0与所述sram存储器b上的对应引脚相连,实现32位宽4m字节数据的存取。

如图7所示,图7为所述fpga控制器和所述刷新电路的连接示意图;所述fpga控制器通过串行数据线d0、串行时钟线cclk、控制线prog、控制线done、控制线init以及jtag口(包括tdo、tdi、tms和tck四根信号线)与所述刷新电路上的对应引脚相连,实现对所述fpga控制器内部配置寄存器的定时刷新。所述刷新电路可采用1片刷新芯片bsv2cqrh。

所述刷新电路通过检测所述fpga控制器的done信号来决定进入配置模式还是刷新模式,实际工作流程如下:

s1,系统上电,所述刷新电路检测到配置完成状态done信号为低电平,自动进入配置模式;

s2,所述fpga控制器发送cclk同步时钟给所述刷新电路,并通过d0引脚读取配置码流;

s3,所述刷新电路持续检测done信号,如超过预计的配置完成时间后还未检测到done信号变高电平信号,则意味着配置失败,会自动在所述fpga控制器的prog端施加复位脉冲,进行重新配置;

s4,当done信号变高电平信号后,所述刷新电路自动启动刷新模式;

s5,通过jtag口检测被刷芯片型号即所述fpga控制器的id号,根据所述fpga控制器的型号自动匹配配置码流长度;

s6,所述刷新电路读取程序存储prom内配置码流,通过jtag口定时刷新所述fpga控制器内部的配置存储区,数据存取区不受影响,所以不影响fpga的正常工作。

如图8所示,图8为所述fpga控制器和所述1553b接口的连接示意图;所述fpga控制器通过电平转换电路与所述1553b接口连接,所述1553b接口采用1片1553b总线控制器jkr65170s6;具体的,所述fpga控制器通过地址总线f_a0~f_a16、数据总线f_d0~f_d15、读写写控制线f_rd、寄存器传送使能信号f_select、寄存器传送使能信号f_strbd、复位信号f_mstclr、地址配置信号f_rtad[0..4]、存储器选择信号f_mem/reg、中断请求信号f_int经所述电平转换电路后与所述1553b总线控制器jkr65170s6对应引脚相连。由于所述fpga控制器接口电平为3.3v,所述1553b接口电平为5v,所述fpga控制器发出的电平信号符合所述1553b接口的信号分辨范围,反过来,所述1553b接口发出的电平信号却不符合所述fpga控制器的信号分辨范围,因此需通过所述电平转换电路进行电平转换。所述电平转换电路中电平转换芯片型号可选用为b54acs164245s。

如图9所示,图9为所述fpga控制器和所述lvds发送接口的连接示意图;所述fpga控制器通过4路数据线lvds_dout0、lvds_dout1、lvds_dout2和lvds_dout3与所述lvds发送接口的发送驱动芯片对应引脚相连,实现4路lvds通信接口功能,所述发送驱动芯片型号为b54lvds031lv。

如图10所示,图10为所述fpga控制器和所述rs422接收接口的连接示意图;所述fpga控制器通过4路数据线rs422_dout0、rs422_dout1、rs422_dout2和rs422_dout3与所述rs422接收接口的接收驱动芯片对应引脚相连,实现4路rs422通信接口功能,所述接收驱动芯片型号为b26lv32terh。

所述电源模块输出+5v、+3.3v、+1.8v和+1.5v共4种电压值,其中所述mcu处理器的工作电压为+3.3v和+1.8v,所述fpga控制器的工作电压为+3.3v和+1.5v,所述flash存储器、所述sram存储器a、所述edac存储器、所述sram存储器b、所述刷新电路、所述lvds发送接口和所述rs422接收接口的工作电压均为+3.3v,所述1553b接口的工作电压为+5v和+3.3v。+5v电压由国产高电流、低压差ldo芯片5232-5.0产生,+3.3v电压由国产高电流、低压差ldo芯片5232-3.3产生,+1.8v电压由国产高电流、低压差ldo芯片5232-1.8产生,+1.5v电压由国产高电流、低压差ldo芯片5232-1.5产生。

在本实施例中,所述flash存储器、所述sram存储器a、所述edac存储器、所述sram存储器b的存储容量,所述1553b接口、所述lvds发送接口、所述rs422接收接口的数量均可以根据实际需要进行扩展或备份,以满足不同功能任务载荷的设计需要。

本发明以国产抗辐照32位嵌入式处理器和fpga控制器为核心,采用edac检错纠错和定时刷新抗辐照加固技术,外围存储器和接口电路均选择宇航级抗辐照器件,从而构建高速、可靠、国产化率高的星载监控系统,满足航天设计要求。

以上所述仅为本发明的较佳实施例,对本发明而言仅仅是说明性的,而非限制性的。本专业技术人员理解,在本发明权利要求所限定的精神和范围内可对其进行许多改变,修改,甚至等效,但都将落入本发明的保护范围内。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1