集成电路结构及其形成方法与流程

文档序号:26289884发布日期:2021-08-17 13:40阅读:196来源:国知局
集成电路结构及其形成方法与流程

本揭露是有关于一种集成电路结构及其形成方法。



背景技术:

随着半导体工业为了追求更高的元件密度、更高的性能和更低的成本而向纳米技术制程节点发展,来自制造和设计问题的挑战导致了三维设计的发展,例如多栅极场效晶体管(fet),包含鳍式fet(finfet)和环绕栅极(gaa)fet。在finfet中,栅极电极与通道区域的三个侧表面相邻,并且栅极介电质层插入其间。因为栅极结构在三个表面上环绕(包覆)鳍片,所以晶体管实质上具有三个栅极,控制通过鳍或通道区域的电流。不幸的是,通道的第四部分,其底部远离栅极电极,因此没有受到严格的栅极控制。相反,在gaafet中,通道区域的所有侧面都被栅极电极环绕,这允许在通道区域中执行更充分的耗尽,并且由于更陡的亚阈值电流摆幅(ss)而导致较短的短通道效应和较小的漏极引致能障下降(dibl)。随着晶体管尺寸的不断缩小,需要进一步改进gaafet。



技术实现要素:

在本揭露的一些实施方式中,一种集成电路结构包含源极磊晶结构、漏极磊晶结构、第一硅化物区域、第二硅化物区域、源极接触、背侧连通轨、漏极接触以及前侧互连结构。第一硅化物区域位于源极磊晶结构之前侧表面上以及第一侧壁上。第二硅化物区域位于漏极磊晶结构的前侧表面上。源极接触与第一硅化物区域接触并且具有延伸超过源极磊晶结构的背侧表面的突出。背侧连通轨与源极接触的突出接触。漏极接触与第二硅化物区域接触。前侧互连结构位于源极接触的前侧表面上以及漏极接触的前侧表面上。

在本揭露的一些实施方式中,一种集成电路结构包含第一晶体管、第二晶体管、背侧连通轨、源极接触以及漏极接触。第一晶体管包含沿着第一方向排列的第一源极磊晶结构、第一栅极结构以及第一漏极磊晶结构。第二晶体管包含沿着第一方向排列的第二漏极磊晶结构、第二栅极结构以及第二源极磊晶结构。背侧连通轨沿着第一方向延伸并沿着实质上垂直于第一方向的第二方向排列在第一晶体管以及第二晶体管之间。源极接触,从剖面图看是包覆第一源极磊晶结构的前侧表面以及相反两侧壁,源极接触从剖面图看是延伸超过第一源极磊晶结构的背侧表面至背侧连通轨。漏极接触从剖面图看是沿着第二漏极磊晶结构的第一侧壁朝着背侧连通轨延伸,并且在到达背侧连通轨之前终止。

在本揭露的一些实施方式中,一种集成电路结构的形成方法包含:形成多个鳍片于基板上方;形成背侧连通轨于多个鳍片的下部以及裹衬背侧连通轨的衬层之间;磊晶生长源极磊晶结构以及漏极磊晶结构于多个鳍片上;执行硅化制程,以形成第一硅化物区域于源极磊晶结构上并形成第二硅化物区域于漏极磊晶结构上;在执行硅化制程之后,形成与第一硅化物区域以及背侧连通轨接触的源极接触;形成前侧互连结构于源极接触上方;去除基板以及衬层以暴露背侧连通轨的背侧表面;以及形成背侧金属线,于背侧连通轨暴露的背侧表面上横向延伸。

附图说明

当结合附图阅读时,得以自以下详细描述最佳地理解本揭露。需强调的是,根据本领域的标准实务,各种特征并未按比例绘制且仅用于说明目的。事实上,为了论述清楚起见,可任意地增大或减小各种特征的尺寸。

图1a、图2a、图3a、图4a、图5a、图6a、图7a、图8a、图9a、图10a、图11a、图12a、图13a、图14a以及图15a是根据本揭露的一些实施方式的制造集成电路结构中的中间阶段的透视图;

图1b、图2b、图3b、图4b、图5b、图6b、图7b、图8b、图9b、图10b、图11b、图12b、图13b、图14b、图15c、图18b、图19b、图20b、图21b、图22b、图23b、图24b、图25b、图26b、图27b、图28b,图29b、图30b、图31b、图32b、图33b、图34b以及图35b是沿着第一切口制造集成电路结构的中间阶段的剖面图,第一切口在相邻晶体管的栅极区域中并且垂直于通道的长度方向;

图15b、图16、图17、图18a、图19a、图20a、图21a、图22a、图23a、图24a、图25a、图26a、图27a、图28a、图29a、图30a、图31a、图32a、图33a、图34a以及图35a是沿着第二切口制造集成电路结构的中间阶段的剖面图,第二切口沿着通道的长度方向并且垂直于基板的顶面;

图18c、图19c、图20c、图21c、图22c、图23c、图24c、图25c、图26c、图27c、图28c、图29c、图30c、图31c、图32c、图33c、图34c、图35c是沿着第三切口制造集成电路结构的中间阶段的剖面图,第三切口在相邻晶体管的源极/漏极区域中并且垂直于通道的长度方向;

图35d绘示了根据本揭露的一些实施方式的集成电路结构的示意性平面图;

图36a以及图36b绘示了根据本揭露的一些实施方式的形成集成电路结构的方法。

【符号说明】

35a-35a,35b-35b,35c-35c,x-x,y1-y1,y2-y2:线

100:集成电路结构

102,t1:沟渠

110:基板

111:基础基板

113:掩埋绝缘体层

115:半导体层

120:磊晶堆叠

122:牺牲层

124:通道层

130:鳍片

140,180:衬层

150:金属层

152:背侧连通轨

160:sti结构

162,210:介电质盖

170:牺牲磊晶结构

190:填充介电质

200:混合鳍片

220:虚设栅极结构

222:虚设栅极介电质层

224:虚设栅极电极层

226:氧化物层

228:氮化物层

230:栅极侧壁间隔物

232:第一间隔物层

234:第二间隔物层

240:内部间隔物

250d:漏极磊晶结构

250db,250sb,290b,352b,354b,bs:背侧表面

250df,250sf,290f,352f,354f,fs:前侧表面

250s:源极磊晶结构

252:第一磊晶层

254:第二磊晶层

260:介电材料

270,300:cesl

280,310:前侧ild层

290:栅极结构

292:界面层

294:高k栅极介电质层

296:栅极金属层

320:第一遮罩层

325,p1:图案化遮罩

330:第二遮罩层

340:硅化物区域

340s:侧壁硅化物

340t:顶部硅化物

352:源极接触

352p:突出

354:漏极接触

360:前侧mli结构

362:前侧金属化层

363,364:前侧imd层

365:前侧金属线

366:前侧金属连通柱

370:载体基板

380:背侧衬层

390:背侧填充介电质

400,410:背侧金属化层

402,414:背侧金属线

404:背侧imd层

412:背侧金属连通柱

416:第一背侧imd层

418:第二背侧imd层

910:hm层

912:hm氧化物层

914:hm氮化物层

d:漏极区域

d1:第一漏极磊晶结构

d2:第二漏极磊晶结构

ees,ffs:间隔

gt1:栅极沟渠

h1:高度

mg1:第一栅极结构

mg2:第二栅极结构

o1,o2,o3,o4:开口

r1,r2:凹陷

s:源极区域

s1:第一源极磊晶结构

s2:第二源极磊晶结构

s3:最小距离

s4,s5:垂直距离

s101,s102,s103,s104,s105,s106,s107,s108,s109,s110,s111,s112,s113,s114,s115,s116,s117,s118:方框

tr1:第一gaa晶体管

tr2:第二gaa晶体管

w1,w2,w3:宽度

具体实施方式

应当理解,以下揭露内容提供用于实施本揭露的不同特征的许多不同实施方式或实施方式。以下描述部件及排列的特定实施例以简化本揭露。当然,此些仅为实施例,且并不意欲为限制。举例来说,在以下叙述中,形成第一特征在第二特征上方或之上可以包含第一和第二特征直接接触形成的实施方式,并且还可以包含在第一和第二特征之间形成附加特征的实施方式,使得第一和第二特征可以不直接接触。另外,本揭露可以在各个实施例中重复参考数字和/或字母。该重复是出于简单和清楚的目的,并且其本身并不指示所讨论的各种实施方式和/或配置之间的关系。

另外,为了便于描述,可在本文中使用像是“在……下面”、“在……下方”、“下部”、“在……上方”、“上部”及其类似术语的空间相对术语,以描述如诸图中所绘示的一个元件或特征与另一(另一些)元件或特征的关系。除了诸图中所描绘的定向以外,此些空间相对术语意欲涵盖元件在使用中或操作中的不同定向。元件可以其他方向(旋转90度或以其他方向),且可同样相应地解释本文中所使用的空间相对描述词。如本文使用的“约”、“大约”、“大概”或“实质上”通常应指给定值或范围的百分之二十以内、百分之十以内或百分之五以内。在此给出的数值是近似的,意味着如果没有明确说明,则可以推断出术语“约”、“大约”、“大概”或“实质上”。

本揭露总体上有关于集成电路结构及其形成方法,并且更具体地有关于制造具有在gaa晶体管的源极区域和/或漏极区域下方的背侧连通轨的gaa晶体管。还应注意,本揭露以多栅极晶体管的形式呈现实施方式。多栅极晶体管包含其栅极结构形成在通道区域的至少两侧上的晶体管。这些多栅极元件可以包含p型金属氧化物半导体元件或n型金属氧化物半导体元件。由于它们的鳍状结构,因此可以给出具体实施例并将其称为finfet。本文还提出了一种多栅极晶体管的实施方式,该多栅极晶体管被称为环绕栅极(gaa)元件。gaa元件包含其栅极结构或其一部分形成在通道区域的四侧(例如,环绕通道区域的一部分)的任何元件。本文提出的元件还包含具有以纳米片通道,纳米线通道和/或其他合适的通道配置布置的通道区域的实施方式。本文呈现的是可以具有与单个连续的栅极结构相关联的一个或多个通道区域(例如,纳米片)的元件的实施方式。然而,本领域具有通常知识者将认识到,该教导可以应用于单个通道(例如,单个纳米片)或任意数量的通道。具有通常知识者可以认识到可以从本揭露的各方面中受益的半导体元件的其他实施例。

随着鳍式场效应晶体管(finfet)中鳍片宽度的比例减小,通道宽度的变化可能会导致迁移率损失。目前正在研究gaa晶体管,例如纳米片晶体管,以替代鳍式场效应晶体管。在纳米片晶体管中,晶体管的栅极形成以环绕通道(例如,纳米片通道或纳米线通道),使得通道被栅极围绕或封装。这种晶体管的优点是改善了栅极对通道的静电控制,这也减轻了泄漏电流。

为了为具有大量gaa晶体管的集成电路(ic)结构创造更多的布线空间,正在研究使用背侧金属连通柱连接到gaa晶体管源极区域中的背侧硅化物区域的背侧电源线,以替代形成在晶体管的源极区域的前侧的前侧电源轨。然而,由于背侧硅化物区域是在低温下形成的,以防止损坏前端制程(feol)元件(例如,gaa晶体管),因此gaa晶体管的源极接触电阻无法令人满意。因此,本揭露提供了电连接至源极磊晶结构中的硅化物区域的背侧连通轨,其中通过使用前侧源极接触在feol制程中形成硅化物区域。因为在feol制程中可以在比背侧硅化物区域更高的温度下形成前侧硅化物区域,所以可以减小背侧连通轨和前侧硅化物区域之间的接触电阻。

图1a至图35c绘示了根据本揭露的一些实施方式的形成具有多栅极元件的集成电路时的中间阶段的透视图和剖面图。图1a至图34c所示的步骤还示意性地反映了图36a以及图36b的制程流程。如本文中所使用的,术语“多栅极元件”用于描述一种元件(例如,半导体晶体管),其具有至少一些设置在该元件的至少一个通道的多个侧面上的栅极材料。在一些实施例中,多栅极元件可以被称为gaa元件或纳米片元件,其栅极材料设置在该元件的至少一个通道的至少四个侧面上。通道区域可以被称为“纳米线”,如本文所使用的,其包含各种几何形状(例如,圆柱形、条形)和各种尺寸的通道区域。

图1a、图2a、图3a、图4a、图5a、图6a、图7a、图8a、图9a、图10a、图11a、图12a、图13a、图14a以及图15a是根据本揭露的一些实施方式的制造集成电路结构100的中间阶段的透视图。图1b、图2b、图3b、图4b、图5b、图6b、图7b、图8b、图9b、图10b、图11b、图12b、图13b、图14b、图15c、图18b、图19b、图20b、图21b、图22b、图23b、图24b、图25b、图26b、图27b、图28b,图29b、图30b、图31b、图32b、图33b、图34b以及图35b是沿着第一切口(例如,图15a中的切口y1-y1)制造集成电路结构100的中间阶段的剖面图,其中第一切口在相邻晶体管的栅极区域中并且垂直于通道的长度方向。图15b、图16、图17、图18a、图19a、图20a、图21a、图22a、图23a、图24a、图25a、图26a、图27a、图28a、图29a、图30a、图31a、图32a、图33a、图34a以及图35a是沿着第二切口(例如,图15a中的切口x-x)制造集成电路结构100的中间阶段的剖面图,其中第二切口沿着通道的长度方向并且垂直于基板的顶面。图18c、图19c、图20c、图21c、图22c、图23c、图24c、图25c、图26c、图27c、图28c、图29c、图30c、图31c、图32c、图33c、图34c、图35c是沿着第三切口(例如,图8a中的切口y2-y2)制造集成电路结构100的中间阶段的剖面图,其中第三切口在相邻晶体管的源极/漏极区域中并且垂直于通道的长度方向。

与本文所讨论的其他方法、实施方式以及例示性元件一样,应当理解的是可以通过cmos技术制程流程来制造集成电路结构100的各个部分,因此,本文仅简要描述一些制程。此外,例示性集成电路结构可以包含各种其他元件和特征,例如其他类型的元件,像是附加晶体管、双极结型晶体管、电阻器、电容器、电感器、二极管、保险丝、静态随机存取记忆体(sram)和/或其他逻辑电路等,但是为了更好地理解本揭露的概念所以被简化。在一些实施方式中,例示性集成电路结构包含可以互连的多个半导体元件(例如,晶体管),包含pfet、nfet等。此外,要注意的是,制造集成电路结构100的制程步骤,包含参照图1a至图35c给出的任何描述,都将在下文中执行描述。与本揭露的方法的其余部分和例示性附图一样,仅是例示性的,并且不意欲限制超出权利要求书中具体叙述的范围。

图1a以及图1b绘示了初始结构的透视图和剖视图。初始结构包含基板110。在一些实施方式中,基板110是绝缘体上半导体(soi)基板,其包含基础基板111、掩埋绝缘体层113以及半导体层115。基础基板111可以包含硅、砷化镓、氮化镓、应变硅、锗化硅、碳化硅、碳化物、金刚石、磊晶层和/或其他材料。掩埋绝缘体层113可以包含氧化硅、氮化硅、氮氧化硅和/或其他介电材料。半导体层115可以包含硅,砷化镓,氮化镓,应变硅,锗化硅,碳化硅,碳化物,金刚石和/或其他材料。可以使用各种soi技术来形成掩埋绝缘体层113和半导体层115。举例来说,可以通过称为透过注入氧的分离(simox)的制程在半导体晶圆上形成掩埋绝缘体层113。simox技术基于将大剂量的氧离子离子注入到硅晶圆中,从而使峰值浓度位于硅表面下方。注入之后,晶圆经受高温退火以形成连续的化学计量的二氧化硅亚表层。由此形成的掩埋绝缘体层113,也称为掩埋氧化物(box),将半导体层115与基础基板111电分离。

图2a以及图2b绘示了形成在基板110上方的磊晶堆叠120的透视图和剖面图。磊晶堆叠120包含被第二成分的磊晶层(通道层)124插入的第一成分的磊晶层(牺牲层)122。第一成分与第二成分可以不同。在一些实施方式中,磊晶层(牺牲层)122是sige,而磊晶层(通道层)124是硅(si)。然而,其他实施方式也是可能的,包含提供具有不同氧化速率和/或蚀刻选择性的第一成分和第二成分的那些实施方式。在一些实施方式中,磊晶层(牺牲层)122包含sige,并且在磊晶层(通道层)124包含si的情况下,磊晶层(通道层)124的si氧化速率小于磊晶层(牺牲层)122的sige氧化速率。

磊晶层(通道层)124或其部分可以形成多栅极晶体管的纳米片通道。术语纳米片在本文中用于表示具有纳米级或甚至微米级尺寸并且具有细长形状的任何材料部分,而与该部分的横截面形状无关。因此,该术语指的是圆形和实质上圆形横截面的细长材料部分,以及包含例如圆柱形或实质上矩形的横截面的束状或条形材料部分。下面进一步讨论使用磊晶层(通道层)124来定义元件的一个或多个通道。

应当注意的是,如图2a以及图2b所示,四层磊晶层(牺牲层)122和三层磊晶层(通道层)124交替排列,其仅用于说明性目的,并不旨在限制权利要求书中具体记载的内容。可以理解的是,可以在磊晶堆叠120中形成任意数量的磊晶层;层的数目取决于晶体管的通道区域的期望数目。在一些实施方式中,磊晶层(通道层)124的数量在2和10之间。

在一些实施方式中,每个磊晶层(牺牲层)122的厚度在约1纳米(nm)至约10nm的范围内,但是其他范围在本揭露的各种实施方式的范围内。磊晶层(牺牲层)122的厚度可以实质上均匀。在一些实施方式中,每个磊晶层(通道层)124的厚度在约1nm至约10nm的范围内,但是其他范围在本揭露的各种实施方式的范围内。在一些实施方式中,堆叠的磊晶层(通道层)124的厚度实质上均匀。如下面更详细地描述,磊晶层(通道层)124可以用作随后形成的多栅极元件的通道区域,并且基于元件性能的考虑来选择厚度。通道区域中的磊晶层(牺牲层)122可以最终被去除并且用于定义随后形成的多栅极元件的相邻通道区域之间的垂直距离,并且基于元件性能的考虑来选择厚度。因此,磊晶层(牺牲层)122也可以称为牺牲层,并且磊晶层(通道层)124也可以称为通道层。

举例来说,可以通过分子束磊晶(mbe)制程,金属有机化学气相沉积(mocvd)制程和/或其他合适的磊晶生长制程来执行磊晶堆叠120的各层的磊晶生长。在一些实施方式中,像是磊晶层(通道层)124的磊晶生长层包含与基板110相同的材料。在一些实施方式中,磊晶生长层(牺牲层)122以及(通道层)124包含与基板110不同的材料。在至少一些实施例中,磊晶层(牺牲层)122包含磊晶生长的锗化硅(sige)层,并且磊晶层(通道层)124包含磊晶生长的硅(si)层。可选地,在一些实施方式中,磊晶层(牺牲层)122以及(通道层)124中的任一个可以包含其他材料,例如锗、化合物半导体(像是碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟)、合金半导体(像是sige、gaasp、alinas、algaas、ingaas、gainp和/或gainasp,或其组合)。如同讨论的,可以基于提供不同的氧化和/或蚀刻选择性特性来选择磊晶层(牺牲层)122以及(通道层)124的材料。在一些实施方式中,磊晶层(牺牲层)122以及(通道层)124实质上不含掺杂剂(即,具有约0cm-3至约1×1018cm-3的非本征掺杂剂浓度),举例来说,在磊晶生长过程期间不执行故意掺杂。

图3a以及图3b绘示了从基板110的掩埋绝缘体层113延伸的多个半导体鳍片130的形成的透视图和剖面图。在各个实施方式中,每个鳍片130包含一部分半导体层。半导体层从掩埋绝缘体层113以及包含磊晶层(牺牲层)122以及(通道层)124的磊晶堆叠的每个磊晶层的一部分突伸。可以使用包含双图案化或多图案化制程在内的合适的制程来制造鳍片130。通常,双图案化或多图案化制程将光刻和自对准过程相结合,从而允许产生例如间距小于使用单次直接光刻法可获得的间距的图案。例如,在一个实施方式中,在基板上方形成牺牲层并使用光刻制程将其图案化。使用自对准制程在图案化的牺牲层旁边形成间隔物。然后去除牺牲层,然后可以通过蚀刻初始的磊晶堆叠120(图2a以及图2b所示),使用剩余的间隔物或心轴来图案化鳍片130。蚀刻制程可以包含干式蚀刻、湿式蚀刻、反应离子蚀刻(rie)和/或其他合适的制程。

相邻的鳍片130被鳍片到鳍片的间隔ffs分离。在一些实施方式中,鳍片到鳍片的间隔ffs可以在从约22nm至约46nm的范围内。鳍片到鳍片的间隔ffs过小可能导致后续用于在鳍片到鳍片的间隔中形成背侧连通轨的挑战。过大的鳍片到鳍片的间隔ffs可能导致在集成电路结构100中形成的标准单元的单元高度不令人满意。

在如图2a、图2b以及图3a、图3b所示的实施方式中,在图案化鳍片130之前,在磊晶堆叠120上方形成硬遮罩(hm)层910。在一些实施方式中,hm层包含hm氧化物层912(例如,衬垫氧化物层,其可包含sio2)以及形成在氧化物层上方的hm氮化物层914(例如,可包含si3n4的衬垫氮化物层)。hm氧化物层912可以充当磊晶堆叠120以及hm氮化物层914之间的粘着层,并且可以充当用于蚀刻hm氮化物层914的蚀刻停止层。在一些实施例中,hm氧化物层912包含热生长的氧化物、化学气相沉积(cvd)沉积的氧化物和/或原子层沉积(ald)沉积的氧化物。在一些实施方式中,通过cvd和/或其他合适的技术将hm氮化物层914沉积在hm氧化物层912上。

随后可以使用包含光刻制程以及蚀刻制程在内的合适制程来制造鳍片130。光刻制程可以包含在hm层910上方形成光阻剂层(未绘示),将光阻剂暴露于图案、执行曝光后烘烤制程以及使光阻剂显影以形成包含光阻剂的图案化遮罩。在一些实施方式中,可以使用电子束(e-beam)光刻制程或使用具有例如euv区域中的光的极紫外(euv)光刻制程来对光阻剂执行图案化以形成图案化遮罩元件,举例来说,其波长在约1nm至约100nm的范围内。然后,图案化遮罩可用于保护基板110的区域以及在其上形成的层,同时蚀刻制程在未保护的区域中通过hm层910、通过磊晶堆叠120并进入基板110中形成沟渠102。从而留下多个延伸鳍片130。可以使用干式蚀刻(例如,反应离子蚀刻)、湿式蚀刻和/或它们的组合来蚀刻沟渠102。还可以使用许多方法的其他实施方式在基板上形成鳍片,包含例如定义鳍片区域(例如通过遮罩或隔离区域)并以鳍片130的形式磊晶生长磊晶堆叠120。

图4a以及图4b绘示了衬层140以及金属层150的形成。衬层140保形地沉积在鳍片130和掩埋绝缘体层113上方。衬层140用于将随后形成的纳米片与随后形成的背侧连通轨分离。衬层140可以包含例如低k介电质材料(介电常数低于约7),像是sio2、sin、sicn、sioc、siocn等或其组合。在一些实施方式中,衬层140是氧化物(sio2)内衬。举例来说,可以通过使用像是cvd制程、亚大气压cvd(sacvd)制程、ald制程、pvd制程或其他合适的制程在鳍片130上共形地沉积介电材料来形成衬层140。在一些实施方式中,衬层140的厚度在约1nm至约10nm的范围内。如果衬层140的厚度过薄,则鳍片130可能在随后对金属层150执行的蚀刻制程中损坏。如果衬层140的厚度过厚,则金属层150随后沉积在鳍片130之间的鳍片到鳍片的间距中可以具有未填充的空隙。

在形成衬层140之后,然后将金属层150沉积在衬层140上方。在一些实施方式中,金属层150包含例如钨(w)、钌(ru)、钴(co)、铜(cu)、钛(ti)、氮化钛(tin)、钽(ta)、氮化钽(tan)、钼(mo)、镍(ni)、其他合适的金属或其组合。通过使用适当的沉积技术,像是cvd、pvd、alc等或其组合来沉积金属层150以在鳍片130之间填充沟渠102。

接下来,将金属层150回蚀刻至鳍片130的顶面下方,以使鳍片130突伸到回蚀的金属层150之上。图5a以及图5b绘示了所得结构。回蚀刻制程可以包含湿式蚀刻、干式蚀刻或其组合。选择回蚀制程以选择性地蚀刻金属层150而实质上不蚀刻衬层140。因此,衬层140可以用作保护性衬层,以保护鳍片130免于受到用于回蚀金属层150的蚀刻剂的侵蚀。在选择性回蚀刻制程是湿式蚀刻的一些实施方式中,用于选择性回蚀刻金属层150的蚀刻剂包含例如臭氧化的di水(di-o3)、标准clean-1(sc1)溶液、标准clean-2(sc2)溶液、过氧化硫混合物(spm)等或其组合,其中sc1是去离子水、氢氧化铵(nh4oh)以及过氧化氢(h2o2)的混合物,di、nh4oh、h2o2的混合比值约为5:1:1,sc2是去离子(di)水以及盐酸(hcl)的混合物,spm是硫酸(h2so4)以及过氧化氢(h2o2)的混合物。在选择性回蚀制程是干式蚀刻的一些实施方式中,用于选择性回蚀金属层150的蚀刻剂包含例如sicl4、cl4、nf3等或其组合。控制回蚀深度(例如,通过控制蚀刻时间),以使鳍片130的突出部分的目标高度。在所示的实施方式中,选择目标高度以使得回蚀金属层150的顶面在鳍片130中的所有磊晶层(牺牲层)122以及(通道层)124之下。在一些实施方式中,回蚀金属层150的顶面进一步在半导体层115的顶面之下。举例来说,控制回蚀深度使得金属层150的所得高度h1在约20nm至约60nm的范围内。如果金属层150的高度h1不在所选范围内,则随后在金属层150之上形成的源极/漏极磊晶结构以及随后在金属层150以下形成的背侧电源轨可能具有不令人满意的依时性介电质崩溃(tddb)。

图6a以及图6b绘示了背侧连通轨152的透视图和剖面图,该背侧连通轨152通过对图5a以及图5b所示的金属层150执行图案化而形成。在该图案化步骤的一些实施方式中,首先形成图案化遮罩p1以覆盖鳍片130之间的金属层150的一部分,然后通过使用适当的蚀刻制程去除金属层150的暴露部分。在一些实施方式中,图案化遮罩p1可以是通过适当的光刻制程所形成的光阻剂遮罩。举例来说,如图5a以及图5b所示,光刻制程可以包含在结构上旋涂光阻剂层、执行曝光后烘烤制程,并显影光阻剂层以形成图案化遮罩p1。在一些实施方式中,可以使用电子束(e-beam)光刻制程或极紫外(euv)光刻制程来对光阻剂执行图案化以形成图案化遮罩元件。

一旦形成了图案化遮罩p1,然后执行蚀刻制程以去除金属层150的暴露部分,同时保留在图案化遮罩p1下方的一部分用作沿着x方向延伸平行于鳍片130的背侧连通轨152。背侧连通轨152用于垂直互连随后形成的源极磊晶结构以及背侧电源轨。选择蚀刻制程以选择性地蚀刻金属层150而实质上不蚀刻衬层140。因此,衬层140可以用作保护性内衬,以保护鳍片130免于受到用于蚀刻金属层150的蚀刻剂的影响。在一些实施方式中,图案化金属层150可以使用与图5a以及图5b所讨论的用于回蚀金属层150的蚀刻剂相同的蚀刻剂。在这种情况下,图案化步骤的蚀刻时间/持续时间可以短于回蚀金属层150的先前步骤的蚀刻时间/持续时间。一旦完成图案化制程,就通过以下步骤去除图案化遮罩p1:例如,灰化。

图7a以及图7b绘示了在鳍片130之间的沟渠102中形成浅沟渠隔离(sti)结构160的透视图和剖面图。作为实施例而非限制,首先在基板110上方沉积介电层,用介电材料填充沟渠102。在一些实施方式中,介电层可以包含氧化硅、氮化硅、氮氧化硅、掺氟硅酸盐玻璃(fsg)、低k介电质,其组合和/或其他合适的材料。在各种实施例中,可以通过cvd制程、亚大气压cvd(sacvd)制程、可流动cvd制程、ald制程、物理气相沉积(pvd)制程和/或其他合适的制程来沉积介电层。在一些实施方式中,在沉积介电层之后,可以对集成电路结构100执行退火,举例来说,以改善介电层的质量。在一些实施方式中,介电层(以及随后形成的sti结构160)可以包含例如具有一个或多个衬层的多层结构。

在形成隔离(sti)特征的一些实施方式中,在沉积介电层之后,例如通过化学机械抛光(cmp)制程来减薄并平坦化所沉积的介电材料。在一些实施方式中,hm层910用作cmp停止层,使得在cmp制程完成之后,sti结构160的顶面可以与hm层910的顶面实质上共平面。

接下来,在回蚀制程中使衬层140以及sti结构160都凹陷。参照图8a以及图8b中所示的实施方式,使衬层140以及sti结构160凹陷,以提供具有在蚀刻后的衬层140以及sti结构160之上延伸的暴露侧壁的鳍片130。在一些实施方式中,凹陷制程可以包含干式蚀刻制程、湿式蚀刻制程和/或其组合。在衬层140以及sti结构160凹陷期间和之后,hm层910保持覆盖鳍片130的顶面。在一些实施方式中,控制凹陷深度(例如,通过控制蚀刻时间),从而得到在鳍片130的暴露的上部的目标高度。在所示的实施方式中,目标高度暴露了鳍片130中的磊晶堆叠120的每个磊晶层(牺牲层)122以及(通道层)124,但是不暴露背侧连通轨152。因此,在完成凹陷制程之后,背侧连通轨152保持被sti结构160的一部分覆盖。

图9a以及图9b绘示了在各个鳍片130上方形成牺牲磊晶结构170的透视图和剖面图。在一些实施方式中,牺牲磊晶结构170具有与鳍片130中的磊晶层(牺牲层)122相同的成分,因此与鳍片130中的磊晶层(通道层)124的组成不同。因此,可以在随后的通道释放步骤中一起去除牺牲磊晶结构170以及磊晶层(牺牲层)122。作为实施例而非限制,牺牲磊晶结构170是sige。

在一些实施方式中,牺牲磊晶结构170可以是使用一种或多种磊晶或磊晶(epi)制程形成的包覆磊晶结构,使得可以在鳍片130上以结晶状态形成sige特征和/或其他合适的特征。磊晶制程包含cvd沉积技术(例如,气相磊晶(vpe)和/或超高真空cvd(uhv-cvd))、分子束磊晶和/或其他合适的制程。磊晶制程可以使用气态和/或液态前驱体,其与鳍片130中的暴露的磊晶层(牺牲层)122、(通道层)124的组成(例如,si和/或sige)相互作用。在一些实施方式中,牺牲磊晶结构170可以是通过磊晶沉积/部分蚀刻制程来生长,其至少重复一次磊晶沉积/部分蚀刻制程。这种重复的沉积/部分蚀刻制程也称为循环沉积蚀刻(cde)制程。在cde磊晶制程中,可以添加蚀刻气体以实现所得牺牲磊晶结构170的目标剖面。举例来说,可以控制磊晶条件(例如,通过调节前驱物气体、载气和/或蚀刻气体之间的温度、压力和/或流速比),使得每个牺牲磊晶结构170都具有实质上垂直的侧壁剖面。以此方式,相邻的牺牲磊晶结构170定义具有实质上均匀的宽度的磊晶到磊晶间隔ees,这将有助于在后续制程中在磊晶到磊晶间隔ees中形成混合鳍片。

图10a以及图10b绘示了在牺牲磊晶结构170上方形成衬层180的透视图和剖面图。衬层180保形地沉积在牺牲磊晶结构170以及sti结构160上方。衬层180可以用于防止随后形成的源极/漏极磊晶结构以及金属栅极结构被氧化。在一些实施方式中,举例来说,衬层180可以包含低k介电质材料(介电常数低于约7)像是sio2、sin、sicn、sioc、siocn等或其组合。在一些实施方式中,衬层180包含高k介电质材料(介电常数高于约7)像是hfo2、zro2、hfalox、hfsiox以及al2o3等或其组合。在一些实施方式中,衬层180是双层介电质膜,其包含在牺牲磊晶结构170上方的低k介电质子层以及在低k介电质子层上方的高k介电质子层。可以通过使用像是cvd制程、亚大气压cvd(sacvd)制程、ald制程、pvd制程或其他合适的制程在牺牲磊晶结构170上方共形地沉积一种或多种介电材料来形成衬层180。

图11a以及图11b绘示了与鳍片130交替排列的混合鳍片200的形成的透视图和剖面图。在形成混合鳍片200的一些实施方式中,首先将填充介电质190(例如,sio2)沉积在衬层180上方,直到衬层180中的沟渠被过度填充。然后在填充介电质190上执行cmp制程,直到暴露出牺牲磊晶结构170的顶面。衬层180和填充介电质190的其余部分用作插入鳍片130之间的混合鳍片200。在一些实施方式中,每个混合鳍片200具有在约6nm至约30nm的范围内的宽度w1。混合鳍片200的宽度w1过小可能导致增加沉积填充介电质190的挑战。混合鳍片200的宽度w1过大可能导致在集成电路结构100中形成的标准单元的单元高度不令人满意。

接下来,将混合鳍片200回蚀刻至牺牲磊晶结构170的顶面之下,以使牺牲磊晶结构170突伸至回蚀的混合鳍片200之上。图12a以及图12b绘示了所得结构。回蚀刻制程可以包含湿式蚀刻、干式蚀刻或其组合。选择回蚀制程中使用的蚀刻剂以选择性地蚀刻混合鳍片200(例如,氮化物和/或氧化物),而实质上不蚀刻牺牲磊晶结构170(例如,sige结构)。在选择性回蚀刻制程是湿式蚀刻的一些实施方式中,用于选择性地回蚀混合鳍片200的蚀刻剂包含例如稀hf。在选择性回蚀刻制程是干式蚀刻的一些实施方式中,用于选择性回蚀混合鳍片200的蚀刻剂包含例如nf3、nh3等或其组合。控制回蚀刻深度(例如,通过控制蚀刻时间),以得到鳍片130的突出部分的目标高度。在所示的实施方式中,选择目标高度以使得回蚀混合鳍片200的顶面位于鳍片130中的磊晶层(牺牲层)122的最顶层之一(即,在随后的制程中将被去除的牺牲层)的下方。更具体地,回蚀混合鳍片200的顶面实质上与磊晶层(通道层)124中的最顶层之一的顶面(即,用作gaa晶体管的通道的通道层)齐平。然而,在一些其他实施方式中,回蚀混合鳍片200的顶面可以高于或低于通道层124中最顶层的顶面。

图13a以及图13b绘示了在混合鳍片200上方形成介电质盖210的透视图和剖面图。在形成介电质盖210的步骤的一些实施方式中,首先在混合鳍片200上方沉积一个或多个介电质层、牺牲磊晶结构170以及hm层910,然后在沉积的一个或多个介电层上执行cmp制程,直到暴露出hm层910。在一些实施方式中,介电质盖210包含高k介电质材料(介电常数高于约7),像是hfo2、zro2、hfalox、hfsiox以及al2o3等或其组合。

接下来,通过使用一种或多种蚀刻制程去除hm层910、最顶层的牺牲层122以及牺牲磊晶结构170的上部,从而在相应的介电质盖210之间形成沟渠t1。图14a以及图14b中绘示了所得结构。在一些实施方式中,可以例如通过使用h3po4的湿式蚀刻制程或以比蚀刻其他材料更快的蚀刻速率选择性地蚀刻氮化物的其他合适的蚀刻剂来去除hm层910的hm氮化物层914。在去除hm氮化物层914之后,可以使用稀释的氢氟酸(hf)或以比蚀刻其他材料更快的蚀刻速率选择性地蚀刻氧化物的其他合适的蚀刻剂,通过湿式蚀刻制程来去除hm氧化物层912。在去除hm氧化物层912之后,然后蚀刻牺牲磊晶结构170和最顶层的牺牲层122。在牺牲磊晶结构170以及由sige形成的最顶层的牺牲层122的一些实施方式中,它们可以使用选择性湿式蚀刻来蚀刻,所述选择性湿式蚀刻包含蚀刻sige的蚀刻速率比蚀刻硅更快的apm蚀刻(例如,氨水-过氧化氢-水混合物)。以此方式,在去除牺牲磊晶结构170以及最顶层的牺牲层122之后,si通道层124可以保持实质上完整。

在去除牺牲磊晶结构170和最顶层的牺牲层122之后,然后在沟渠tl中和在介电质盖210上方共形地沉积虚设栅极介电质层222。在一些实施方式中,虚设栅极介电质层222可以包含sio2、氮化硅、高k介电质材料和/或其他合适的材料。在各种实施例中,可以通过cvd制程、亚大气压cvd(sacvd)制程、可流动cvd制程、ald制程、pvd制程或其他合适的制程来沉积虚设栅极介电质层222。举例来说,虚设栅极介电质层222可以用于防止通过后续制程(例如,虚设栅极结构的后续形成)对鳍片130的损坏。

图15a至图15c绘示了根据本揭露的一些实施方式的虚设栅极结构220的形成的透视图和两个剖面图。在一些实施方式中,每个虚设栅极结构220均包含虚设栅极电极层224以及硬遮罩,硬遮罩可以包含多层226以及228(例如,氧化物层226以及氮化物层228)。在一些实施方式中,虚设栅极结构220通过各种制程步骤形成,像是层沉积、图案化、蚀刻以及其他合适的制程步骤。例示性层沉积制程包含cvd(包含低压cvd以及电浆增强cvd两者)、pvd、ald、热氧化、电子束蒸发或其他合适的沉积技术,或其组合。举例来说,在形成栅极结构时,图案化制程包含光刻制程(例如,光刻或电子束光刻),其可以进一步包含光阻剂涂层(例如,旋涂)、软烘烤、遮罩对准、曝光,后曝光烘烤、光阻剂显影、冲洗、干燥(例如,旋转干燥和/或硬烘烤),其他合适的光刻技术和/或其组合。在一些实施方式中,蚀刻制程可以包含干式蚀刻(例如,rie蚀刻)、湿式蚀刻和/或其他蚀刻方法。在一些实施方式中,虚设栅极电极层224可以包含多晶体硅(多晶硅)。在一些实施方式中,硬遮罩包含氧化物层226、像是可以包含sio2的衬垫氧化物层,以及氮化物层228像是可以包含si3n4和/或氮氧化硅的衬垫氮化物层。在一些实施方式中,在图案化虚设栅极电极层224之后,从鳍片130的源极/漏极区域去除虚设栅极介电质层222的未被覆盖在图案化虚设栅极电极层224下方的暴露部分。蚀刻制程可以包含湿式蚀刻、干式蚀刻和/或其组合。选择蚀刻制程以选择性地蚀刻虚设栅极介电质层222,而实质上不蚀刻鳍片130、虚设栅极电极层224、氧化物层226以及氮化物层228。

图15a至图15c还绘示了在虚设栅极结构220的侧壁上形成栅极侧壁间隔物230。在栅极侧壁间隔物230的形成的一些实施方式中,首先在基板110上方沉积间隔物材料层。间隔物材料层可以是保形的层,保形的层随后被蚀刻以在虚设栅极结构220的侧壁上形成栅极侧壁间隔物230。在所示的实施方式中,间隔物材料层保形地设置在虚设栅极结构220的顶部和侧壁上。间隔物材料层可以包含介电材料,像是氧化硅、氮化硅、氮氧化硅、sicn膜、碳氧化硅、siocn膜和/或其组合。在一些实施方式中,间隔物材料层(栅极侧壁间隔物)230包含多层,像是第一间隔物层232以及形成在第一间隔物层232上方的第二间隔物层234(图15b所示)。可以通过使用像是cvd制程、亚大气压cvd(sacvd)制程、可流动cvd制程、ald制程、pvd制程或其他合适的制程在虚设栅极结构220上沉积介电材料来形成半导体层。然后在沉积的间隔物材料层上执行非均向性蚀刻制程以暴露鳍片130的未被虚设栅极结构220覆盖的部分(例如,在鳍片130的源极/漏极区域中表示为“s”和“d”)。可以通过该非均向性蚀刻制程完全去除虚设栅极结构220正上方的间隔物材料层的部分。为了简单起见,可以保留虚设栅极结构220的侧壁上的间隔材料层的部分,从而形成栅极侧壁隔离物,其被表示为栅极侧壁隔离物230。应当注意,尽管在图15b的剖面图中栅极侧壁间隔物230是多层结构,但是为了简化起见,它们在图15a的透视图中被绘示为单层结构。

参照图16,通过例如使用利用虚设栅极结构220和栅极侧壁间隔物230作为蚀刻遮罩的非均向性蚀刻制程蚀刻半导体鳍片130的横向延伸超出栅极侧壁间隔物230(例如,在鳍片130的源极/漏极区域s/d中)的暴露部分,从而在半导体鳍片130中以及对应的虚设栅极结构220之间形成凹陷r1。在非均向性蚀刻之后,由于非均向性蚀刻,牺牲层122以及通道层124的端面实质上与栅极侧壁间隔物230的最外侧壁对准。在一些实施方式中,可以通过利用电浆源和反应气体的干式化学蚀刻来执行非均向性蚀刻。电浆源可以是感应耦合电浆(icr)源、变压器耦合电浆(tcp)源、电子回旋共振(ecr)源等,并且反应气体可以是例如基于氟的气体(例如,sf6、ch2f2、ch3f、chf3等)、氯化物基气体(例如,cl2)、溴化氢气体(hbr)、氧气(o2)等或其组合。

接下来,参考图17,通过使用适当的蚀刻技术使牺牲层122横向或水平凹陷,从而在相应的通道层124之间分别形成垂直的横向凹陷。该步骤可以通过使用选择性蚀刻制程来执行。作为实施例而非限制,牺牲层122是sige,通道层124是硅,以允许选择性蚀刻牺牲层122。在一些实施方式中,选择性湿式蚀刻包含蚀刻sige的速度比腐蚀si的速度更快的apm蚀刻(例如,氨水-过氧化氢-水混合物)。在一些实施方式中,选择性蚀刻包含sige氧化,然后去除sigeox。举例来说,可以通过o3清洁来提供氧化,然后通过像是nh4oh之类的蚀刻剂去除sigeox,nh4oh以比蚀刻si更快的蚀刻速率选择性地蚀刻sigeox。此外,因为si的氧化速率比sige的氧化速率低得多(有时低30倍),所以在横向地使牺牲层122凹陷期间,通道层124实质上保持完整。因此,通道层124横向地延伸越过牺牲层122的表面的相反端。

在使牺牲层122横向凹陷之后,形成内部间隔物材料层(内部间隔物)240以填充由于牺牲层122的横向蚀刻而留下的凹陷r2。内部间隔物材料层(内部间隔物)240可以是低k介电质像是sio2、sin、sicn或siocn之类的材料(介电常数低于约7),并且可以通过像是ald之类的合适沉积方法形成。在内部间隔物材料层(内部间隔物)240的沉积之后,可以执行非均向性蚀刻制程以修整所沉积的内部间隔物材料(内部间隔物)240,使得仅沉积的内部间隔物材料(内部间隔物)240的部分填充由基板的横向蚀刻所留下的凹陷r2。保留牺牲层122。在修整制程之后,为了简化起见,将沉积的内部间隔物材料的其余部分表示为内部间隔物240。内部间隔物240用于将金属栅极与在后续制程中形成的源极/漏极磊晶结构隔离。在图17的实施例中,内部间隔物240的侧壁从通道层124的侧壁横向地向后缩进。在一些其他的实施方式中,内部间隔物240的侧壁可以与通道层124的侧壁垂直地对准。

图18a至图18c绘示了在鳍片130的凹陷r1中形成源极/漏极磊晶结构250s/250d的剖面图。更详细地,在鳍片130的凹陷的源极区域s中形成了源极磊晶结构250s,漏极磊晶结构250d形成在鳍片130的漏极区域d上。可以通过执行在鳍片130上提供磊晶材料的磊晶生长制程来形成源极/漏极磊晶结构250s/250d。虚设栅极结构220以及栅极侧壁间隔物230将源极/漏极磊晶结构250s/250d限制到源极/漏极区域s/d。合适的磊晶制程包含cvd沉积技术(例如气相磊晶(vpe)和/或超高真空cvd(uhv-cvd))、分子束磊晶和/或其他合适的制程。磊晶生长制程可以使用气态和/或液态前驱体,其与鳍片130以及通道层124的半导体材料的成分相互作用。

在一些实施方式中,源极/漏极磊晶结构250s/250d可以包含ge、si、gaas、algaas、sige、gaasp、sip或其他合适的材料。源极/漏极磊晶结构250s/250d可以在磊晶制程期间通过引入如下掺杂物质来原位掺杂包含:p型掺杂物,例如硼;n型掺杂剂,例如磷或砷;和/或其他合适的掺杂剂,包含其组合。如果源极/漏极磊晶结构250s/250d未被原位掺杂,则执行注入制程(即,结注入制程)以掺杂源极/漏极磊晶结构250s/250d。在一些例示性实施方式中,nfet元件中的源极/漏极磊晶结构250s/250d包含sip,而pfet元件中的源极/漏极磊晶结构包含gesnb和/或sigesnb。

在一些实施方式中,源极/漏极磊晶结构250s/250d各自包含第一磊晶层252和在第一磊晶层252上方的第二磊晶层254。第一磊晶层252以及第二磊晶层254至少在锗原子百分比(ge%)或磷浓度(p%)可以不同。在一些实施方式中,第一磊晶层252不仅可以从鳍片130的顶面生长,而且可以从通道层124的端面生长。

在源极/漏极磊晶结构250s/250d包含用于形成pfet的gesnb和/或sigesnb的一些实施方式中,第一磊晶层252以及第二磊晶层254至少在锗原子百分比(ge%)上不同。在某些实施方式中,第一sige层(第一磊晶层)252具有比第二sige层194低的锗原子百分比。第一sige层192中的低锗原子百分比可以帮助减少鳍片130中的未掺杂si的萧特基能障。第二sige层194中的锗原子百分比可以帮助减小源极/漏极接触电阻。作为实施例而非限制,第一sige层(第一磊晶层)252中的锗原子百分比在约10%至约20%的范围内,并且第二sige层(第二磊晶层)254中的锗原子百分比在约20%至约60%的范围内,但其他范围在本揭露的各种实施方式的范围内。在一些实施方式中,第二sige层(第二磊晶层)254可以具有梯度锗原子百分比。例如,第二sige层(第二磊晶层)254中的锗原子百分比随着与第一sige层(第一磊晶层)252的距离增加而增加。

在源极/漏极磊晶结构250s/250d包含用于形成nfet的sip的一些实施方式中,第一sip层(第一磊晶层)252以及第二sip层(第二磊晶层)254至少在磷浓度(p%)上不同。在某些实施方式中,第一sip层(第一磊晶层)252具有比第二sip层(第二磊晶层)254低的磷浓度。第一sip层(第一磊晶层)252中的低磷浓度可以帮助减小鳍片130中的未掺杂si的萧特基能障。在第二sip层(第二磊晶层)254中的nmos可以帮助减小源极/漏极接触电阻。作为实施例而非限制,第一sip层(第一磊晶层)252中的磷浓度在约5×1019cm-3至约1×1021cm-3的范围内,并且第二sip层(第二磊晶层)254中的磷浓度在约1×1021cm-3到约3×1021cm-3的范围内。在一些实施方式中,第二sip层(第二磊晶层)254可以具有梯度磷浓度。例如,第二sip层(第二磊晶层)254中的磷浓度随着与第一sip层(第一磊晶层)252的距离增加而增加。

如图18c的剖面图所示,控制磊晶条件使得源极/漏极磊晶结构250s/250d具有条形剖面。由于条形剖面,源极/漏极磊晶结构250s/250d与混合鳍片200间隔开。通过这种方式,随后形成的源极/漏极接触不仅可以在源极/漏极磊晶的顶面上形成,并且源极/漏极磊晶结构250s/250d的侧壁也是如此,导致接触表面增大,从而减小了接触电阻。此外,随后形成的源极接触可以进一步沿着源极磊晶结构250s的侧壁在源极磊晶结构250s的底面下方沿着背侧连通轨152延伸到背侧。

在一些实施方式中,条形源极/漏极磊晶结构250s/250d(例如,条形硼掺杂的sige)可以在约400℃至约700℃的温度范围下,使用sih4、二氯硅烷(dcs)以及b2h6作为前驱气体,并使用hcl作为蚀刻气体,在约5torr至约100torr的压力范围内生长。如果磊晶生长温度和压力不在以上选择的范围内,则源极/漏极磊晶结构250s/250d可能具有不期望的剖面(例如,具有倾斜小面的菱形),这反而可能导致在后续制程中源极磊晶结构250s与混合鳍片200接触,因此导致形成源极接触从源极磊晶结构250s之上到在源极磊晶结构250s之下的背侧连通轨152的挑战日益增加。

在一些实施方式中,每个条形源极/漏极磊晶结构250s/250d在其最底部位置均具有底部宽度w2,并且条形源极/漏极磊晶结构250s/250d的底部宽度w2在约6nm至约40nm的范围内。条状源极/漏极磊晶结构250s/250d的底部宽度w2与通道层124的宽度实质上相同。如果通道层124的宽度不在大约6nm至40nm的范围内,gaa晶体管的有效电流可能不令人满意。在一些实施方式中,每个条形源极/漏极磊晶结构250s/250d皆具有在约2nm至约20nm的范围内的横向生长宽度w3。如果横向生长宽度w3过大,则源极/漏极磊晶结构250s/250d可能接触混合鳍片200,从而导致在后续制程中,在从源极磊晶结构250s上方到位于其下方的背侧连通轨152形成源极接触的挑战日益增加。如图18c所示,源极/漏极磊晶结构250s/250d具有凸侧壁和凸顶面。然而,所示的源极/漏极磊晶结构250s/250d的剖面仅是实施例,并不意欲限制。在一些其他实施方式中,只要源极/漏极磊晶结构250s/250d与混合鳍片200间隔开,源极/漏极磊晶结构250s/250d就具有其他剖面。

图19a至图19c依序绘示了在基板110上方形成的介电材料260、接触蚀刻停止层(cesl)270以及前侧层间介电质(ild)层280的剖面图。在一些实施方式中,首先在基板110上沉积介电材料260(如图19c所示),用介电材料260填充源极/漏极磊晶结构250以及混合鳍片200之间的空间。在一些实施方式中,举例来说,介电材料260可以包含低k介电质材料(介电常数低于约7),例如sio2、sin、sicn、sioc、siocn等,或其组合。在一些实施方式中,介电材料260包含高k介电质材料(介电常数高于约7),像是hfo2、zro2、hfalox、hfsiox以及alox等或其组合。在回蚀刻制程中使介电材料260凹陷,以提供具有在回蚀介电材料260上方延伸的上部的源极/漏极磊晶结构250s/250d。在一些实施方式中,回蚀制程可以包含干式蚀刻制程、湿式蚀刻制程和/或其组合。选择回蚀制程中使用的蚀刻剂以选择性地蚀刻介电材料260,而实质上不蚀刻源极/漏极磊晶结构250s/250d。控制回蚀深度(例如,通过控制蚀刻时间),以得到源极/漏极磊晶结构250s/250d的突出部分的目标高度。在所示的实施方式中,选择目标高度,使得介电材料260的顶面在源极/漏极磊晶结构250s/250d中的第二磊晶层254的顶端之下并且在第二磊晶层254的底端之上。在一些实施方式中,介电材料260可以交替地称为虚设材料。

在回蚀刻介电材料260之后,将cesl270沉积在基板110上方。在一些实施例中,cesl270包含氮化硅层、氧化硅层、氮氧化硅层和/或其他合适的具有与前侧ild层280不同的蚀刻选择性的材料。可以通过电浆增强化学气相沉积(pecvd)制程和/或其他合适的沉积或氧化制程来形成cesl270。然后,将ild层280沉积在cesl270上方。在此上下文中,将ild层280称为“前侧”ild层,因为它形成在多栅极晶体管的前侧(即,从源极/漏极区域250s/250d突伸的栅极的多栅极晶体管的一侧)。在一些实施方式中,前侧ild层280包含像是正硅酸四乙酯(teos)的氧化物、未掺杂硅酸盐玻璃或像是硼磷硅酸盐玻璃(bpsg)、熔融石英玻璃(fsg)、磷硅酸盐玻璃(psg)的掺杂硅氧化物的材料、硼掺杂的硅玻璃(bsg)和/或蚀刻选择性与cesl270不同的其他合适的介电材料。可以通过pecvd制程或其他合适的沉积技术来沉积前侧ild层280。在一些实施方式中,在形成前侧ild层280之后,可以对集成电路结构100执行高热积存制程以对前侧ild层280执行退火。

在沉积前侧ild层280之后,可以执行平坦化制程以去除前侧ild层280的过多材料。举例来说,平坦化制程包含化学机械平坦化(cmp)制程,该化学机械平坦化(cmp)制程去除部分覆盖虚设栅极结构220的前侧ild层280和cesl270中的一部分被平坦化,并平坦化集成电路结构100的顶面。在一些实施方式中,cmp制程还去除了虚设栅极结构220(如图18a以及图18b所示)中的硬遮罩层(氧化物层)226、(氮化物层)228,并暴露虚设栅极电极层224。此外,如图18b以及图19b所示,执行cmp制程直到暴露出介电质盖210的顶面,从而将单个连续的虚设栅极结构220分成由介电质盖210分离的多个虚设栅极结构220。因此,额外的栅极剪切制程可以跳过。

接下来,去除虚设栅极结构220,随后去除牺牲层122。图20a至图20c绘示了所得结构。在所示的实施方式中,通过使用选择性蚀刻制程(例如,选择性干式蚀刻、选择性湿式蚀刻或其组合)去除虚设栅极结构220,该选择性蚀刻制程以比蚀刻其他材料(例如,栅极侧壁间隔物230,cesl270和/或前侧ild层280)更快的蚀刻速率蚀刻虚设栅极结构220中的材料,从而在相应的栅极侧壁间隔物230之间形成栅极沟渠gt1,牺牲层122以及牺牲磊晶结构170暴露在栅极沟渠gt1中。随后,通过使用另一种选择性蚀刻制程来蚀刻栅极沟渠gt1中的牺牲层122以及牺牲磊晶结构170,该另一蚀刻制程以比蚀刻通道层124更快的蚀刻速率蚀刻牺牲层122以及牺牲磊晶结构170,因此在相邻的通道层124之间具有开口o1。以此方式,通道层124变为悬浮在基板110上方并且在源极/漏极磊晶结构250s/250d之间的纳米片。此步骤也称为通道释放制程。在此中间制程步骤中,纳米片(通道层)124之间的开口o1可以充满周围环境条件(例如,空气、氮气等)。在一些实施方式中,取决于几何形状,纳米片(通道层)124可以可交替地称为纳米线、纳米平板以及纳米环。举例来说,在一些其他实施方式中,由于用于完全去除牺牲层122的选择性蚀刻制程,通道层124可以被修整为实质上为圆的形状(即,圆柱形)。在那种情况下,所得通道层124可以称为纳米线。

在一些实施方式中,通过使用选择性湿式蚀刻制程来去除牺牲层122以及牺牲磊晶结构170。在一些实施方式中,牺牲层122以及牺牲磊晶结构170是sige,通道层124是硅,以允许选择性地去除牺牲层122以及牺牲磊晶结构170。在一些实施方式中,选择性湿式蚀刻包含apm蚀刻(例如,氨水-过氧化氢-水的混合物)。在一些实施方式中,选择性去除包含sige氧化,随后去除sigeox。举例来说,可以通过o3清洁来提供氧化,然后通过像是nh4oh之类的蚀刻剂去除sigeox,该蚀刻剂以比蚀刻si更快的蚀刻速率选择性地蚀刻sigeox。此外,因为si的氧化速率比sige的氧化速率低得多(有时低30倍),所以在通道释放制程期间,通道层124可以保持实质上完整。在一些实施方式中,通道释放步骤以及横向凹陷牺牲层的先前步骤(即,如图17所示的步骤)都使用选择性蚀刻制程,该选择性蚀刻制程以比蚀刻si更快的蚀刻速率蚀刻sige,因此在一些实施方式中,这两个步骤可以使用相同的蚀刻剂化学物质。在这种情况下,通道释放步骤的蚀刻时间/持续时间比横向凹陷牺牲层的先前步骤的蚀刻时间/持续时间长,以完全去除牺牲sige层。

图21a至图21c绘示了形成替换栅极结构290的剖面图。替换栅极结构290分别形成在栅极沟渠gt1中以环绕悬浮在栅极沟渠gt1中的每个纳米片(通道层)124。栅极结构290可以是gaafet的最终栅极。最终栅极结构可以是高k/金属栅极堆叠,但是其他组成也是可能的。在一些实施方式中,每个栅极结构290形成与由多个纳米片(通道层)124提供的多通道相关联的栅极。例如,高k/金属栅极结构290形成在开口o1内(如图20a以及图20b所示)。在各种实施方式中,高k/金属栅极结构290包含形成在纳米片(通道层)124周围的界面层292、在界面层292周围形成的高k栅极介电质层294,以及形成在高k栅极介电质层294周围并填充剩余的栅极沟渠gt1的栅极金属层296。高k/金属栅极结构290的形成可以包含一种或多种沉积制程以形成各种栅极材料,随后执行cmp制程以去除过多的栅极材料,从而导致的高k/金属栅极结构290具有与前侧ild层280的顶面齐平的顶面。如图21b的剖面图所示,高k/金属栅极结构290环绕每个纳米片(通道层)124,因此被称为gaafet的栅极。

在一些实施方式中,界面层292是通过使用例如热氧化、化学氧化、湿式氧化等在栅极沟渠gt1中的半导体材料的暴露表面上形成的氧化硅。因此,暴露在栅极沟渠gt1中的纳米片(通道层)124以及半导体层115的表面部分被氧化成氧化硅以形成界面层292。

在一些实施方式中,高k栅极介电质层294包含介电材料,像是氧化铪(hfo2)、氧化铪硅(hfsio)、氧氮化铪硅(hfsion)、氧化铪钽(hftao)、氧化铪钛(hftio)、氧化铪锆(hfzro)、氧化镧(lao)、氧化锆(zro)、氧化钛(tio)、氧化钽(ta2o5)、氧化钇(y2o3)、氧化锶钛(srtio3,sto)、氧化钡钛(batio3,bto)、氧化钡锆(bazro)、氧化铪镧(hflao)、氧化镧硅(lasio)、氧化铝硅(alsio)、氧化铝(al2o3)等或其组合。

在一些实施方式中,栅极金属层296包含一个或多个金属层。举例来说,栅极金属层296可以包含彼此堆叠的一个或多个功函数金属层以及填充剩余的栅极沟渠gt1的填充金属。栅极金属层296中的一个或多个功函数金属层为高k/金属栅极结构290提供合适的功函数。对于n型gaafet,栅极金属层296可以包含一个或多个n-型型功函数金属(n-金属)层。n型功函数金属可例示性地包含但不限于铝化钛(tial)、氮化钛铝(tialn)、碳氮化钽(tacn)、铪(hf)、锆(zr)、钛(ti)、钽(ta)、铝(al)、金属碳化物(例如碳化铪(hfc)、碳化锆(zrc)、碳化钛(tic)、碳化铝(alc))、铝化物和/或其他合适的材料。另一方面,对于p型gaafet,栅极金属层296可以包含一个或多个p型功函数金属(p-金属)层。p型功函数金属可例示性地包含但不限于氮化钛(tin)、氮化钨(wn)、钨(w)、钌(ru)、钯(pd)、铂(pt)、钴(co)、镍(ni)、导电金属氧化物和/或其他合适的材料。在一些实施方式中,栅极金属层296中的填充金属可例示性地包含但不限于钨、铝、铜、镍、钴、钛、钽、氮化钛、氮化钽、硅化镍、硅化钴、tac、tasin、tacn、tial、tialn或其他合适的材料。

图22a至图22c绘示了另一cesl300,在cesl300上方的另一前侧ild层310以及穿过前侧ild层310、cesl300、前侧ild层280以及cesl270延伸到源极/漏极磊晶结构250s/250d的源极/漏极接触开口o2/o3的形成的剖面图。通过使用如先前所讨论的与cesl270类似的沉积技术,cesl300可以由与cesl270类似的材料形成,因此为了简洁起见不再描述。前侧ild层310可以通过使用与前侧ild层280类似的沉积技术由与前侧ild层280类似的材料形成,因此,为了简洁起见不再赘述。

使用一种或多种蚀刻制程来形成源极/漏极接触开口o2/o3,以蚀刻穿过前侧ild层280、310以及蚀刻停止层300和270。在所示的实施方式中,所使用的蚀刻制程为了形成源极/漏极接触开口o2/o3,进一步蚀刻在介电材料260上方突伸的源极/漏极磊晶结构250s/250d的突出部分。在一些实施方式中,蚀刻制程选择性地蚀刻源极/漏极磊晶结构250s/250d以比其蚀刻介电材料260快的速率执行蚀刻,而实质上不蚀刻介电材料260。因此,介电材料260保护源极/漏极磊晶结构250s/250d的下部免于受到蚀刻过程的影响。

图23a至图23c绘示了在前侧ild层310上方形成图案化遮罩325的剖面图。图案化遮罩325暂时填充漏极接触开口o3并因此覆盖漏极磊晶结构250d。另一方面,图案化遮罩325不填充源极接触开口o2,因此在介电材料260的一部分经由背侧连通轨152直接暴露在背侧以上。在所示的实施方式中,图案化遮罩325也暴露出源极磊晶结构250s的一部分。在一些实施方式中,图案化遮罩325包含在第一遮罩层上方的第一遮罩层320以及第二遮罩层330。第一遮罩层320,举例来说,是通过合适的沉积制程然后执行合适的图案化制程形成的氮化物遮罩。第二遮罩层330,举例来说,是通过适当的光刻制程形成的光阻剂遮罩。作为实施例而非限制,形成图案化遮罩325的步骤包含在结构上毯覆沉积氮化物层,如图22a至图22c所示,在氮化物层上方旋涂光阻剂层、执行曝光后烘烤制程、以及显影光阻剂层以形成光阻剂遮罩(第二遮罩层)330。在一些实施方式中,可以使用电子束(e-beam)光刻制程或极紫外(euv)光刻制程对光阻剂执行图案化以形成图案化遮罩元件。在形成光阻剂遮罩(第二遮罩层)330之后,通过将光阻剂遮罩(第二遮罩层)330用作蚀刻遮罩来对氮化物层(第一遮罩层)320执行图案化,从而得到氮化物遮罩(第一遮罩层)320。

如图23a至图23c所示,在形成图案化遮罩325之后,通过使用图案化遮罩325作为蚀刻遮罩的蚀刻制程去除暴露的介电材料260。选择蚀刻制程以选择性地蚀刻介电材料260,而实质上不蚀刻源极磊晶结构250s。因此,通过选择蚀刻制程,源极磊晶结构250s实质上保持不变。选择性蚀刻制程导致sti结构160的在背侧连通轨152顶部上的部分(介电质盖)162在源极接触开口o2的底部暴露。sti部分(介电质盖)162可交替地称为用于保护背侧连通轨152的介电质盖162。然后,蚀刻sti结构160的暴露部分,直到暴露背侧连通轨152。图24a至图24c中绘示了所得结构。在sti结构160是氧化物的一些实施方式中,此步骤也称为氧化物穿透步骤。在氧化物穿透步骤完成之后,从源极/漏极接触开口o2/o3去除图案化遮罩325。图25a至图25c中绘示了所得结构。在第二遮罩层330是光阻剂的一些实施方式中,举例来说可以通过灰化将其去除。在第一遮罩层320是氮化物的一些实施方式中,举例来说,可以通过使用h3po4的湿式蚀刻制程来将其去除。

然后参考图26a至图26c的剖视图。通过使用选择性蚀刻制程来蚀刻被源极/漏极接触开口o2/o3暴露的介电材料260,而该蚀刻制程蚀刻介电材料260而实质上不蚀刻源极/漏极排放接触孔o2/o3中的其他材料(例如,源极/漏极磊晶结构250s/250d)。接下来,通过使用硅化制程在源极/漏极磊晶结构250s/250d的暴露表面上形成金属硅化物区域340。可以通过在暴露的源极/漏极磊晶结构250s/250d上方毯覆沉积金属层形成硅化,对金属层执行退火以使得金属层与源极/漏极磊晶结构250s/250d中的硅(和锗,如果存在的话)反应来形成形成金属硅化物区域340,然后去除未反应的金属层。在一些实施方式中,硅化在高于约400℃或甚至高于600℃的温度下执行,这可以帮助减小硅化物区域340与随后形成的源极/漏极接触之间的接触电阻。在一些实施方式中,硅化制程中使用的金属层包含镍、钴、钛、钽、铂、钨、其他惰性金属、其他难熔金属、稀土金属或其合金,并且该金属层的厚度在一个范围内,例如,从约1nm到约10nm。

在一些实施方式中,如图26c所示,顶部硅化物340t比每个硅化物区域340中的侧壁硅化物340s厚。这是因为在硅化制程中,在金属沉积中沉积的金属层可能在源极/漏极磊晶结构250s/250d的顶面上方比在源极/漏极磊晶结构250s/250d的侧壁上方更厚。因此,在硅化制程中的退火完成之后,顶部硅化物340t比侧壁硅化物340s更厚。然而,在一些其他实施方式中,顶部硅化物340t也可以具有与侧壁硅化物340s相同的厚度。在一些实施方式中,硅化物区域340的厚度在例如约1.5nm至约8.0nm的范围内。在一些实施方式中,顶部硅化物340t与侧壁硅化物340s的厚度比在约1:1至约5:1的范围内。

在图26c所示的实施方式中,侧壁硅化物340s与相邻的混合鳍片200隔开最小距离s3,该最小距离s3在例如约4nm至约20nm的范围内。过小的最小距离s3可能导致对在源极接触开口o2中形成源极接触的后续沉积制程的挑战增加。举例来说,如果侧壁硅化物340s以及混合鳍片200之间的最小距离s3过小,则随后形成的源极接触可以在侧壁硅化物340s和混合鳍片200之间具有未填充的空隙。另一方面,极大的最小距离s3可能导致形成在集成电路结构100中的标准单元的单元高度不令人满意。此外,从顶部硅化物340t的顶面测量到背侧连通轨152的顶面的垂直距离s4在一个范围内,例如,从约32nm至约80nm。太大的垂直距离s4可能导致对在源极接触开口o2中形成源极接触的后续沉积制程的挑战增加。举例来说,如果顶部硅化物340t以及背侧连通轨152之间的垂直距离s4过大,则随后形成的源极接触可以在侧壁硅化物340s以及混合鳍片200之间具有未填充的空隙。另一方面,过小的在顶部硅化物340t与背侧连通轨152之间的垂直距离s4可导致硅化物区域340与随后形成的源极接触之间的接触面积减小,从而导致接触电阻增大。

在如图26c所示的一些实施方式中,硅化物区域340环绕源极磊晶结构250s的顶面以及相反两侧壁。另一方面,硅化物区域340位于漏极磊晶结构250d的顶面上以及第一侧壁上,并且一部分介电材料260保留在漏极磊晶结构250d的第二侧壁上,因此硅化物区域340不存在于漏极磊晶结构250d的第二侧壁。

图27a至图27c绘示了在源极磊晶结构250s上方形成源极接触352以及在漏极磊晶结构250d上方形成漏极接触354的剖面图。在一些实施方式中,源极/漏极接触形成步骤通过使用合适的沉积技术(例如,cvd,pvd,ald等或其组合)沉积一种或多种金属材料(例如,w、ru、co、cu、ti、tin、ta、tan、mo、ni等或其组合)以填充源极/漏极接触开口o2/o3(如图26a至图26c所示),随后执行cmp制程以去除源极/漏极接触开口外部的过量金属材料,同时在源极/漏极接触开口o2/o3中保留金属材料以用作源极/漏极接触352以及354。在一些实施方式中,源极/漏极接触352以及354各自的高度在约1nm至约50nm的范围内,但其他范围在本揭露的各种实施方式的范围内。

如图27c所示,源极接触352环绕硅化物区域340的三个侧面,并进一步延伸穿过介电质盖162到达背侧连通轨152。通过这种方式,背侧连通轨152电耦合到源极磊晶结构250s。另一方面,漏极接触354通过介电质盖162与背侧连通轨152间隔开,因此背侧连通轨152与漏极磊晶结构250d电绝缘。

图28a至图28c绘示了在基板110上方形成前侧多层互连(mli)结构360。前侧mli结构360可以包含多个前侧金属化层362。前侧金属化层362的数量可以根据集成电路结构100的设计规范而改变。为了简单描述起见,在图28a至图28c中仅绘示了两个前侧金属化层362。每个前侧金属化层362均包含第一前侧金属间介电质(imd)层363以及第二前侧imd层364。第二前侧imd层364形成在相应的第一前侧imd层363上方。前侧金属化层362包含一个或多个水平互连,像是分别在第二前侧imd层364中以及垂直互连件水平延伸或横向延伸的前侧金属线365,像是分别在第一前侧imd层363中垂直延伸的前侧金属连通柱366。

在一些实施方式中,在最底部的前侧金属化层362中的前侧金属连通柱366与漏极接触354接触,以形成与漏极磊晶结构250d的电连接。在一些实施方式中,最底部的前侧金属化层362中没有金属连通柱与源极接触352接触。相反的,源极磊晶结构250s与背侧连通轨152电连接。

可以使用例如单金属镶嵌制程、双金属镶嵌制程等或其组合来形成前侧金属线365以及前侧金属连通柱366。在一些实施方式中,前侧imd层363-364可以包含低k介电质材料,该低k介电质材料具有设置在这样的导电特征之间的例如小于约4.0或者甚至小于约2.0的k值。在一些实施方式中,通过任何合适的方法,例如旋涂、化学气相沉积(cvd)、电浆增强cvd(pecvd)等或类似的方法,前侧imd层363-364可以由例如磷硅酸盐玻璃(psg)、硼磷硅酸盐玻璃(bpsg)、氟硅酸盐玻璃(fsg)、sioxcy、旋涂玻璃、旋涂聚合物、氧化硅,氮氧化硅等其组合,或类似材料制成。前侧金属线365以及前侧金属连通柱366可以包含像是w、ru、co、cu、ti、tin、ta、tan、mo、ni、其组合等的金属材料。每个前侧金属线365以及前侧金属连通柱366各自具有在约1nm至约50nm的范围内的厚度,但是其他范围在本揭露的各种实施方式的范围内。在一些实施方式中,前侧金属线365以及前侧金属连通柱366可以进一步包含一个或多个阻障/粘着层(未绘示),以保护各个前侧imd层363-364免于受到金属扩散(例如,铜扩散)和金属中毒的影响。一个或多个阻障/粘着层可包含钛、氮化钛、钽、氮化钽等,并且可使用物理气相沉积(pvd)、cvd、ald等形成。

参考图29a至图29c,根据本揭露的一些实施方式,将载体基板370结合到前侧mli结构360。载体基板370可以是掺杂的或未掺杂的硅,或者可以包含像是锗的其他半导体材料,像是锗、化合物半导体、或其组合。在一些实施方式中,载体基板370可以在集成电路结构100的背侧上的后续制程期间提供结构支撑,并且可以保留在最终产品中。在一些其他实施方式中,可以在集成电路结构100的背侧上的后续制程完成之后去除载体基板370。在一些实施方式中,举例来说,载体基板370通过熔融结合而结合到前侧mli结构360的最顶部介电质层。如图30a至图30c所示,一旦载体基板370被结合到前侧mli结构360,集成电路结构100上下颠倒,使得基础基板111的背侧表面面向上。

接下来,如图31a至图31c所示,减薄基础基板111以及掩埋绝缘体层113以暴露半导体层115。在一些实施方式中,减薄步骤通过cmp制程、研磨制程等来完成。在减薄步骤完成之后,半导体层115保持覆盖源极/漏极磊晶结构250s/250d的背侧。

接下来,如图32a至图32c所示,去除半导体层115。在半导体层是si的一些实施方式中,通过使用选择性蚀刻制程来去除半导体层115,该选择性蚀刻制程以更比蚀刻源极/漏极磊晶结构250s/250d(例如,掺杂硼的sige磊晶结构)更快的蚀刻速率来蚀刻si。在一些实施方式中,用于选择性地去除半导体层115的选择性蚀刻制程可以是使用湿式蚀刻溶液的湿式蚀刻制程,其中湿式蚀刻溶液可以像是氢氧化四甲基铵(tmah)、氢氧化钾(koh)、nh4oh等或其组合。选择性蚀刻制程形成了延伸穿过sti结构160的背侧开口o4,并暴露出源极/漏极磊晶结构250s/250d的背侧以及高k/金属栅极结构290的背侧。由于蚀刻的选择性,源极/漏极磊晶结构250s/250d以及高k/金属闸结构290在si去除步骤中实质上保持完整。si去除步骤完全去除了半导体层115,这反而改善了截止状态的漏极-本体结泄漏电流(iboff)。在一些实施方式中,第一磊晶层252用作蚀刻停止层,以减慢去除半导体层115的蚀刻制程。

图33a至图33c绘示了在开口o4中形成背侧衬层380以及背侧填充介电质390的剖面图。首先将背侧衬层380共形沉积在源极/漏极磊晶结构250s/250d的背侧和高k/金属栅极结构290的背侧上,从而衬在sti结构160中的开口o4。在一些实施方式中,举例来说,背侧衬层380可包含低k介电质材料(介电常数低于约7),像是sio2、sin、sicn、sioc、siocn等或其组合。在一些实施方式中,背侧衬层380包含高k介电质材料(介电常数高于约7),像是hfo2、zro2、hfalox、hfsiox以及al2o3等或其组合。然后将背侧填充介电质390(例如,sio2)沉积在背侧衬层380上方,直到开口o4被背侧填充介电质390过度填充。然后在背侧填充介电质390上执行cmp制程,直到sti结构160的背侧表面被暴露。背侧填充介电质390可用于将源极/漏极结构250s/250d、金属栅极结构290从背侧连通轨152以及随后形成的背侧电源轨电隔离。在一些实施方式中,背侧衬层380的厚度在约1nm至约10nm的范围内。如果背侧衬层380的厚度过厚,则由于对介电质沉积制程的挑战增加,背侧填充介电质390可能具有未填充的空隙。此外,如图33b以及图33c所示,背侧衬层380具有u字形剖面,并且衬层180具有倒u字形剖面。这是因为在前侧制程中形成了衬层180,而在背侧制程中形成了背侧衬层380。

图34a至图34c绘示了在背侧连通轨152上方形成最底部的背侧金属化层400(也称为背侧m0层)的剖面图。最底部的背侧金属化层400包含在背侧填充介电质390上方的背侧imd层404以及一个或多个水平互连件,像是分别在背侧imd层404中水平延伸或横向延伸的背侧金属线402。最底部背侧金属化层400中的背侧金属线402用作电源线,该电源轨延伸跨越背侧连通轨152并与背侧连通轨152接触,从而与一个或多个源极磊晶结构250s电连接。因为电源轨(背侧金属线)402形成在背侧金属化层400中,所以可以为集成电路结构100提供更多的布线空间。在一些实施方式中,背侧电源轨(背侧金属线)402以垂直距离s5与源极/漏极磊晶结构250s/250d的背侧分离。垂直距离s5在例如约24nm至约80nm的范围内。过大或过小的垂直距离s5可能会降低依时性介电质崩溃(tddb)。

通过任何合适的方法形成,例如旋涂、化学气相沉积(cvd)、电浆增强cvd(pecvd)等,背侧imd层404由低k介电质材料形成,例如磷硅酸盐玻璃(psg)、硼磷硅酸盐玻璃(bpsg)、氟硅酸盐玻璃(fsg)、sioxcy、旋涂玻璃、旋涂聚合物、氧化硅、氮氧化硅、其组合等。通过在背侧imd层404中蚀刻沟渠、在沟渠中沉积一种或多种金属材料,然后执行cmp制程以去除沟渠外部的多余金属材料来形成背侧金属线402。一种或多种金属材料包含w、ru、co、cu、ti、tin、ta、tan、mo、ni、其组合等。背侧金属线402的厚度在约1nm至约50nm的范围内,但是其他范围在本揭露的各种实施方式的范围内。在一些实施方式中,背侧金属线402可以进一步包含一个或多个阻障/粘着层(未绘示),以保护背侧imd层404不受金属扩散(例如,铜扩散)和金属中毒的影响。

图35a至图35c绘示了在最底部的背侧金属化层400上方形成多个上背侧金属化层410的剖面图。最底部的背侧金属化层400和上背侧金属化层410可以结合称为背侧mli结构。上背侧金属化层410的数量可以根据集成电路结构100的设计规范而变化。为了简洁起见,在图35a至图35c中仅绘示了两个背侧金属化层410(也称为背侧m1层和背侧m2层)。

上背侧金属化层410(例如,背侧m1层以及m2层)各自包含第一背侧imd层416以及第二背侧imd层418。第二背侧imd层418形成在相应的第一背侧imd层416上方。上背侧金属化层410包含一个或多个水平互连件,像是分别在第二背侧imd层418中以及垂直互连水平延伸或横向延伸的背侧金属线414,例如分别在第一背侧imd层416中垂直延伸的背侧金属连通柱412。在一些实施方式中,由于在ic结构100已经上下颠倒之后蚀刻第一背侧imd层416中的连通柱开口的性质,背侧金属连通柱412具有渐缩的剖面,其宽度随着与背侧连通轨152的距离的缩短而减小。

如图35a至图35c所示,集成电路结构100具有前侧表面fs以及位于前侧表面fs相反侧的背侧表面bs。在所示的实施方式中,前侧表面fs是载体基板370的底面,而背侧表面bs是最顶部的背侧金属化层410的顶面。集成电路结构100内的元件具有面向前侧表面fs的前侧表面以及面向背侧表面bs的背侧表面。例如,源极磊晶结构250s具有面向前侧表面fs的前侧表面250sf以及面向背侧表面bs的背侧表面250sb,漏极磊晶结构250d具有面向前侧表面fs的前侧表面250df以及面向背侧表面bs的背侧表面250db,源极接触352具有面向前侧表面fs的前侧表面352f以及面向背侧表面bs的背侧表面352b,漏极接触354具有面向前侧表面fs的前侧表面354f以及面向背侧表面bs的背侧表面354b。并且栅极结构290具有面向前侧表面fs的前侧表面290f以及面向背侧表面bs的背侧表面290b。此外,如图35c所示,源极磊晶结构(源极接触)352具有延伸超过源极磊晶结构250s的背侧表面250sb至背侧连通轨152的突出352p。

图35d绘示了根据本揭露的一些实施方式的集成电路结构100的示意性平面图,其中在图35a中绘示了沿着线35a-35a截取的剖面图,在图35b中绘示了沿着线35b-35b截取的剖面图,在图35c中绘示了沿着线35c-35c截取的剖面图。如图35d所示,集成电路结构100包含第一gaa晶体管tr1以及第二gaa晶体管tr2。第一gaa晶体管tr1包含沿着第一方向排列的第一源极磊晶结构s1、第一栅极结构mg1以及第一漏极磊晶结构d1。第二gaa晶体管tr2包含沿着第一方向排列的第二漏极磊晶结构d2、第二栅极结构mg2以及第二源极磊晶结构s2。集成电路结构100还包含沿着第一方向延伸并且沿着实质上垂直于第一方向的第二方向排列在第一gaa晶体管tr1以及第二gaa晶体管tr2之间的背侧连通轨152。如图35a以及图35c所示,第一源极磊晶结构s1以及第二源极磊晶结构s2具有源极磊晶结构250s的剖面。第一漏极磊晶结构d1以及第二漏极磊晶结构d2具有如图35a以及图35c所示的漏极磊晶结构250d的剖面。如图35a以及图35b所示,第一栅极结构mg1以及第二栅极结构mg2具有高k/金属栅极结构290的剖面。

图36a以及图36b绘示了根据本揭露的一些实施方式的形成集成电路结构的方法的流程图。尽管该方法被图示和/或描述为一系列动作或事件,但是应当理解,该方法不限于所图示的顺序或动作。因此,在一些实施方式中,可以以与所绘示的顺序不同的顺序执行动作和/或可以同时执行动作。此外,在一些实施方式中,可以将所图示的动作或事件细分为多个动作或事件,其可以在分开的时间或与其他动作或子动作同时地执行。在一些实施方式中,一些绘示的动作或事件可以省略,并且可以包含其他未绘示的动作或事件。

在方框s101中,形成多个鳍片在基板上。图1a至图3b绘示了根据方框s101的一些实施方式的透视图和剖面图。

在方框s102中,沉积衬层以及金属层在鳍片上方。图4a以及图4b绘示了根据方框s102的一些实施方式的透视图和剖面图。

在方框s103中,将金属层回蚀刻以使其落在鳍片中的通道层之下。图5a以及图5b绘示了根据方框s103的一些实施方式的透视图和剖面图。

在方框s104中,对金属层执行图案化以形成背侧连通柱。图6a以及图6b绘示了根据方框s104的一些实施方式的透视图和剖面图。

在方框s105处,形成sti结构以横向环绕鳍片的下部,并且形成介电质帽在背侧连通轨的顶部。图7a至图8b绘示了根据方框s105的一些实施方式的透视图和剖面图。

在方框s106中,形成虚设栅极结构在鳍片上方。图14a至图15c绘示了根据方框s106的一些实施方式的透视图和剖面图。

在方框s107中,形成源极/漏极磊晶结构在鳍片上。图16至图18c绘示了根据方框s107的一些实施方式的剖面图。

在方框s108处,形成虚设材料以横向环绕源极/漏极磊晶结构的下部。图19a至图19c绘示了根据方框s108的一些实施方式的剖面图。

在方框s109中,去除鳍片中的虚设栅极结构以及牺牲层。图20a至图20c绘示了根据方框s109的一些实施方式的剖面图。

在方框s110中,通过去除虚设栅极结构以及牺牲层在剩余空间中形成替换栅极结构。图21a至图21c绘示了根据方框s110的一些实施方式的剖面图。

在方框s111,去除位于源极磊晶结构的侧壁上的虚设材料的一部分,并且还去除位于虚设材料的被去除的部分之下的介电质帽的一部分,以暴露背侧连通柱。图23a至图24c绘示了根据方框s111的一些实施方式的剖面图。

在方框s112,形成硅化物区域在源极/漏极磊晶结构上。图26a至图26c绘示了根据方框s112的一些实施方式的剖面图。

在方框s113,形成源极/漏极接触在硅化物区域上方。图27a至图27c绘示了根据方框s113的一些实施方式的剖面图。

在方框s114处,形成前侧mli结构在源极/漏极接触上方。图28a至图28c绘示了根据方框s114的一些实施方式的剖面图。

在方框s115处,将载体基板结合到前侧mli,并且将基板上下颠倒。图29a至图30c绘示了根据方框s115的一些实施方式的剖面图。

在方框s116处,去除基板以形成开口在替换栅极结构以及源极/漏极磊晶结构的背侧上。图31a至图32c绘示了根据方框s116的一些实施方式的剖面图。

在方框s117中,形成背侧衬层以及背侧填充氧化物在开口中并在替换栅极结构以及源极/漏极磊晶结构的背侧上方。图33a至图33c绘示了根据方框s117的一些实施方式的剖面图。

在方框s118处,形成背侧mli结构在背侧填充氧化物上方。图34a至图35c绘示了根据方框s118的一些实施方式的剖面图。

基于以上讨论,可以看出本揭露提供了优点。然而,应当理解,其他实施方式可以提供额外的优点,并且在本文中不必揭露所有优点,并且并非所有实施方式都需要特定优点。一个优点是可以在晶体管的背侧上形成背侧连通轨以及背侧金属线(例如,背侧电源轨),这又允许更大的布线空间并因此具有更高的布线密度。另一个优点是,背侧连通轨在feol制程中电耦合到在较高温度(大于约400℃)下形成的源极硅化物区域,这反而又有助于减小接触电阻。

在一些实施方式中,ic结构包含源极磊晶结构、漏极磊晶结构、第一硅化物区域、第二硅化物区域、源极接触、背侧连通轨、漏极接触以及前侧互连结构。第一硅化物区在源极磊晶结构的前侧上以及第一侧壁上。第二硅化物区域在漏极磊晶结构的前侧表面上。源极接触与第一硅化物区域接触,并且具有延伸超过源极磊晶结构的背侧表面的突出。背侧连通轨与源极接触的突出接触。漏极接触与第二硅化物区域接触。前侧互连结构在源极接触的前侧表面上以及漏极接触的前侧表面上。

在一些实施方式中,第一硅化物区域在源极磊晶结构的前侧表面上比在源极磊晶结构的第一侧壁上更厚。在一些实施方式中,第一硅化物区域进一步位于源极磊晶结构的第二侧壁上,源极磊晶结构的第二侧壁位于源极磊晶结构的第一侧壁的相反侧。在一些实施方式中,第一硅化物区域在源极磊晶结构的前侧表面上比在源极磊晶结构的第二侧壁上更厚。在一些实施方式中,第二硅化物区域进一步位于漏极磊晶结构的第一侧壁上。在一些实施方式中,第二硅化物区域不存在于位于漏极磊晶结构的第一侧壁相反侧的漏极磊晶结构的第二侧壁。在一些实施方式中,集成电路结构进一步包含介电材料,介电材料与漏极磊晶结构的第二侧壁接触。在一些实施方式中,集成电路结构进一步包含介电质盖,介电质盖将背侧连通轨与漏极接触分离。在一些实施方式中,源极接触的突出延伸经过介电质盖至背侧连通轨。在一些实施方式中,集成电路结构进一步包含混合鳍片,混合鳍片从介电质盖朝向前侧互连结构突伸。在一些实施方式中,混合鳍片具有相反两侧壁分别与源极接触以及漏极接触接触。在一些实施方式中,混合鳍片包含衬层以及被衬层包覆的填充氧化物。

在一些实施方式中,ic结构包含第一晶体管、第二晶体管、背侧连通轨、源极接触以及漏极接触。第一晶体管包含沿着第一方向排列的第一源极磊晶结构、第一栅极结构以及第一漏极磊晶结构。第二晶体管包含沿着第一方向排列的第二漏极磊晶结构、第二栅极结构以及第二源极磊晶结构。背侧连通轨沿着第一方向延伸,并且沿着实质上垂直于第一方向的第二方向排列在第一晶体管以及第二晶体管之间。从剖面图看,源极接触包覆第一源极磊晶结构的前侧表面以及相反两侧壁。从剖面图看,源极接触延伸超过第一源极磊晶结构的背侧表面到背侧连通轨。漏极接触沿着第二漏极磊晶结构的第一侧壁朝着背侧连通轨延伸,从剖面图看,并且在到达背侧连通轨之前终止。

在一些实施方式中,集成电路结构进一步包含介电材料,介电材料与位于第二漏极磊晶结构的第一侧壁相反侧的第二漏极磊晶结构的第二侧壁接触。在一些实施方式中,集成电路结构进一步包含硅化物区域,硅化物区域位于第二漏极磊晶结构上,其中从剖面图看,硅化物区域在第二漏极磊晶结构的前侧表面上比在第二漏极磊晶结构的第一侧壁上更厚。在一些实施方式中,集成电路结构进一步包含硅化物区域,从剖面图看硅化物区域是包覆第一源极磊晶结构的前侧表面以及相反两侧壁,并且被源极接触包覆。在一些实施方式中,硅化物区域在第一源极磊晶结构的前侧表面上比在第一源极磊晶结构的相反两侧壁上更厚。

在一些实施方式中,一种方法包含:形成多个鳍片在基板上方;形成背侧连通轨在多个鳍片的下部以及裹衬背侧连通轨的衬层之间;磊晶生长源极磊晶结构以及漏极磊晶结构于多个鳍片上;执行硅化制程,以形成第一硅化物区域于源极磊晶结构上并形成第二硅化物区域于漏极磊晶结构上。在执行硅化制程之后,形成与第一硅化物区域以及背侧连通轨接触的源极接触;形成前侧互连结构于源极接触上方;去除基板以及衬层以暴露背侧连通轨的背侧表面;以及形成背侧金属线,于背侧连通轨暴露的背侧表面上横向延伸。

在一些实施方式中,源极接触形成以包覆源极磊晶结构的至少三侧。在一些实施方式中,集成电路结构的形成方法进一步包含形成混合鳍片于多个鳍片之间,以及使多个鳍片的部分区域凹陷,其中源极磊晶结构以及漏极磊晶结构磊晶生长于多个鳍片的多个凹陷区域上,并且源极磊晶结构以及漏极磊晶结构位于混合鳍片的相反侧上且与混合鳍片分离。

前述概述了几个实施方式的特征,使得本领域具有通常知识者可以更好地理解本揭露的各方面。本领域具有通常知识者应当理解,他们可以容易地将本揭露用作设计或修改其他制程和结构的基础,以实现与本文介绍的实施方式相同的目的和/或实现相同的优点。本领域具有通常知识者还应该认识到,这样的等效构造不脱离本揭露的精神和范围,并且在不脱离本揭露的精神和范围的情况下,它们可以执行各种改变、替换和变更。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1